CN106098523A - 处理被处理体的方法 - Google Patents

处理被处理体的方法 Download PDF

Info

Publication number
CN106098523A
CN106098523A CN201610268935.7A CN201610268935A CN106098523A CN 106098523 A CN106098523 A CN 106098523A CN 201610268935 A CN201610268935 A CN 201610268935A CN 106098523 A CN106098523 A CN 106098523A
Authority
CN
China
Prior art keywords
gas
plasma
mask
container
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610268935.7A
Other languages
English (en)
Other versions
CN106098523B (zh
Inventor
木原嘉英
大石智之
久松亨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN106098523A publication Critical patent/CN106098523A/zh
Application granted granted Critical
Publication of CN106098523B publication Critical patent/CN106098523B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种处理被处理体的方法,该方法包括:第一步骤,向收纳有被处理体的处理容器内供给包含含硅气体的第一气体;在执行第一步骤之后,在处理容器内生成稀有气体的等离子体的第二步骤;在执行第二步骤之后,在处理容器内生成含有氧气的第二气体的等离子体的第三步骤;和在执行第三步骤之后,在处理容器内生成稀有气体的等离子体的第四步骤。该方法反复执行包括所述第一~第四步骤的流程,由此形成硅氧化膜。另外,在该方法中,在第二步骤、第三步骤和第四步骤的至少任一者中,对电容耦合型的等离子体处理装置的上部电极施加负的直流电压。

Description

处理被处理体的方法
技术领域
本发明的实施方式涉及对被处理体进行处理的方法。
背景技术
在半导体器件这样的电子器件的制造中,存在使用等离子体处理装置进行被处理体的等离子体处理的情况。在等离子体处理中,要求抑制能够造成产品的缺陷的主要原因的颗粒的产生。
颗粒能够从划分生成等离子体的处理空间的等离子体处理装置的内壁面产生。用于抑制来自内壁面的颗粒的产生的对策之一是定期地更换提供内壁面的部件。另外,抑制来自内壁面的颗粒的产生的其它对策有在被处理体的等离子体处理的实施之前,在内壁面形成覆膜。后者,即在被处理体的等离子体处理的实施之前在内壁面形成覆膜的技术,例如被记载在下列的专利文献1~3中。
但是,作为等离子体处理的一种,具有等离子体蚀刻。等离子体蚀刻是为了将设置在被蚀刻层上的掩模的图案转印到该被蚀刻层而进行的。作为掩模,通常使用抗蚀剂掩模。抗蚀剂掩模通过光刻技术形成。因此,形成在被蚀刻层的图案的极限尺寸依赖于通过光刻技术形成的抗蚀剂掩模的分辨率。但是,抗蚀剂掩模的分辨率具有极限、即分辨率极限。
另一方面,对于电子设备的高集成化的要求日益增高,要求形成比抗蚀剂掩模的分辨率极限小的尺寸的图案。因此,如专利文献4所记载的那样,提案有通过在抗蚀剂掩模上形成硅氧化膜,调整该抗蚀剂掩模的尺寸,缩小由该抗蚀剂掩模提供的开口宽度的技术。
现有技术文献
专利文献
专利文献1:特开2014-53644号公报
专利文献2:美国专利第7204913号说明书
专利文献3:特开2007-165479号公报
专利文献4:特开2011-82560号公报
发明内容
发明想要解决的技术问题
如上所述,在使用调整了尺寸的掩模进行的等离子体蚀刻中,也需要抑制颗粒的产生。因此,要求在等离子体处理装置的内壁面设置覆膜。另外,这样的覆膜并不是在内壁面的全部区域中形成,而是要求有选择地将该覆膜形成在内壁面。而且,要求避免由于覆膜的形成被处理体的处理所需要的时间增加。即要求抑制生产量的降低。
因此,在包含掩模的尺寸的调整的被处理体的处理中,需要在等离子体处理装置的内壁面有选择地形成覆膜,并且要抑制生产量的降低。
用于解决技术问题的技术方案
一种方式提供使用电容耦合型的等离子体处理装置处理被处理体的方法。被处理体具有掩模。等离子体处理装置包括:处理容器、载置台和上部电极。处理容器构成为提供处理空间。载置台构成为在其上载置所述被处理体,包括下部电极。上部电极包括顶板。顶板是硅制的,隔着该处理容器内的处理空间面对所述载置台。该方法包括:(a)第一步骤,向收纳有被处理体的处理容器内供给包含含硅气体的第一气体;(b)在执行第一步骤之后,在处理容器内生成稀有气体的等离子体的第二步骤;(c)在执行第二步骤之后,在处理容器内生成含有氧气的第二气体的等离子体的第三步骤;和(d)在执行第三步骤之后,在处理容器内生成稀有气体的等离子体的第四步骤。该方法反复执行包括上述第一步骤~上述第四步骤的流程,由此形成硅氧化膜。另外,在该方法中,在所述第二步骤、所述第三步骤和所述第四步骤的至少任一者中,对所述上部电极施加负的直流电压。
在一种方式的方法中,在流程中的第一步骤中,在被处理体上形成含硅的前体,在该流程中的第三步骤中,前体发生氧化。因此,根据该方法,在被处理体上形成具有对应于流程的反复进行次数的膜厚的硅氧化膜。由此,调整掩模的尺寸。另外,该硅氧化膜也形成在划分等离子体处理装置的处理空间的内壁面。但是,通过对上部电极施加的负的直流电压,正离子与形成在顶板的表面的膜、即含硅膜或者硅氧化膜碰撞,将该膜除去。因此,根据该方法,能够在划分处理空间的内壁面的全部区域中的顶板以外的区域有选择地形成硅氧化膜作为覆膜。并且,在该方法中,在执行用于调整掩模的尺寸的流程时,在内壁面形成覆膜,因此能够抑制生产量的降低。
并且,在上述方法中,在第一步骤与第三步骤之间的第二步骤中,利用稀有气体原子的活性种,使前体表面中的结合活化。另外,在第四步骤中,硅氧化膜的表面的结合被活化。由此,消除了硅氧化膜中的Si-O网中的氧缺损。因此,使形成的硅氧化膜致密化,具有高密度。由此,即使是具有提供高纵横比的开口的掩模的被处理体,也能够在该被处理体的表面上形成高面内均匀性和共形的覆盖性的硅氧化膜。即,能够降低在被处理体的表面上形成的硅氧化膜的膜厚的不均。此外,共形的覆盖性是指,掩模的上表面之上的硅氧化膜的膜厚、沿着形成开口的掩模的侧面的硅氧化膜的膜厚(宽度)和开口的底面上的硅氧化膜的膜厚的相互差异小。
在一个实施方式中,含硅气体可以是氨基硅烷气体。在该实施方式中,在第一步骤中不生成等离子体。
在一个实施方式中,含硅气体可以是卤化硅气体,在该实施方式的第一步骤中,生成第一气体的等离子体。卤化硅气体例如SiCl4气体、SiBr4气体、SiF4气体或SiH2Cl4气体在常温下为气体状态,所以能够不使用专用的气化器,而使前体堆积在被处理体上和等离子体处理装置的内壁面。
在一个实施方式中,第一步骤、第二步骤、第三步骤和第四步骤按顺序连续执行,在第一步骤、第二步骤、第三步骤和第四步骤中生成所述稀有气体的等离子体。根据该实施方式,在第一步骤与第三步骤之间、第三步骤与接下来的第一步骤之间不需要另行进行处理容器内的空间的吹扫。另外,能够也省略用于使等离子体稳定化的期间。因此,能够进一步改善生产量。
在一个实施方式中,在第四步骤中供给到处理容器内的稀有气体的流量,比在第三步骤中供给到处理容器内的所述稀有气体的流量大。在该实施方式中,能够将在第三步骤中使用的氧气高速地从处理容器内的空间排出。因此,能够进一步改善生产量。此外,可以将在第四步骤中供给到处理容器内的稀有气体的流量设定为在第三步骤中供给到处理容器内的所述稀有气体的流量的5倍以上的流量。通过在第四步骤中使用这样的流量的稀有气体,能够将在第三步骤中使用的氧气更高速地从处理容器内排出。
在一个实施方式的第一步骤中,处理容器内的压力为13.33Pa以上的压力,等离子体生成用的高频电源的电力被设定为100W以下的高压低功率的条件。通过以这样的高压且低功率的条件生成等离子体,能够抑制过剩的卤素的活性种的产生。由此,能够抑制掩模的损伤和/或已经形成的硅氧化膜的损伤。另外,能够降低基于在被处理体上的位置导致的硅氧化膜的膜厚的不均。并且,在掩模存在较密地设置的区域和较疏地设置的区域的情况下,即,掩模的图案存在疏密的情况下,能够降低在两种区域形成的硅氧化膜的膜厚的差异。
在一个实施方式的第一步骤中,不对下部电极供给引入离子用的高频偏置电力。根据该实施方式,能够进一步提高相对于凹凸部的掩模形状在掩模的上表面和侧面以及该掩模的基底的表面分别形成的硅氧化膜的膜厚的均匀性。
在一个实施方式中,被处理体还具有有机膜,上述掩模可以设置在该有机膜上。该实施方式的方法还包括:利用在处理容器内产生的等离子体,对硅氧化膜进行蚀刻,使得保留沿着掩模的侧壁形成的硅氧化膜的部分区域的步骤;和利用在处理容器内生成的等离子体,对有机膜进行蚀刻的步骤。根据该方法,由于在等离子体处理装置的内壁面形成有覆膜,所以能够抑制在有机膜的蚀刻时生成的等离子体导致的从内壁面产生颗粒的状况。
在一个实施方式中,被处理体还具有由硅氧化膜构成的被蚀刻层,有机膜设置在该被蚀刻层上。该实施方式的方法还包括:在执行蚀刻有机膜的上述步骤之后,利用在处理容器内生成的等离子体,对被蚀刻层进行蚀刻的步骤。根据该实施方式,在蚀刻被蚀刻层时,也能够除去在等离子体处理装置的内壁面形成的硅氧化膜。
在一个实施方式中,被处理体还具有设置在有机膜上的含硅防反射膜,掩模为设置在防反射膜上的抗蚀剂掩模。该实施方式的方法还包括以下步骤:在执行蚀刻硅氧化膜的上述步骤之后、执行蚀刻有机膜的上述步骤之前,利用在处理容器内产生的等离子体,对防反射膜进行蚀刻。
在一个实施方式的方法中,还包括以下步骤:在反复执行包含第一~第四步骤的流程之前,在处理容器内产生等离子体,对上部电极施加负的直流电压,由此对掩模照射二次电子。根据该实施方式,将抗蚀剂掩模改性,能够抑制后续的步骤导致的抗蚀剂掩模的损伤。
在一个实施方式的方法中,还包括以下步骤:在反复执行包含第一~第四步骤的流程之前,利用在处理容器内产生的等离子体,对在其上具有抗蚀剂掩模的防反射膜进行蚀刻,来由上述防反射膜形成上述掩模。
在一个实施方式的方法中,还包括以下步骤:在执行蚀刻防反射膜的步骤之前,在处理容器内生成等离子体,对上部电极施加负的直流电压,由此对抗蚀剂掩模照射二次电子。根据该实施方式,将抗蚀剂掩模改性,能够抑制后续的步骤导致的抗蚀剂掩模的损伤。
在一个实施方式的方法中,还包括以下步骤:在执行蚀刻防反射膜的上述步骤之后且反复执行包括第一~第四步骤的流程之前,在被处理体上形成氧化硅制的保护膜。根据该实施方式,能够保护有机膜不受在第三步骤中产生的氧气的等离子体损伤。
发明效果
如以上所说明,在包括调整掩模的尺寸的被处理体的处理中,能够在等离子体处理装置的内壁面有选择地形成覆膜,并且能够抑制生产量的降低。
附图说明
图1是表示一个实施方式的处理被处理体的方法的流程图。
图2是表示等离子体处理装置的一例的图。
图3是概略地表示图1所示的方法的实施之前的被处理体的状态和等离子体处理装置的状态的截面图。
图4是概略地表示图1所示的方法的实施的途中阶段的被处理体的状态和等离子体处理装置的状态的截面图。
图5是表示对上部电极施加负的直流电压时的正离子的动作的图。
图6是概略地表示图1所示的方法的实施的途中阶段的被处理体的状态和等离子体处理装置的状态的截面图。
图7是概略地表示图1所示的方法的实施结束时的被处理体的状态和等离子体处理装置的状态的截面图。
图8是关于图1所示的方法的时序图。
图9是表示另一实施方式的处理被处理体的方法的流程图。
图10是表示关于图9所示的方法的等离子体的生成和稀有气体的气体流量的时序图。
图11是表示包括图1所示的方法或者图9所示的方法的、处理被处理体的方法的一个实施方式的流程图。
图12是表示执行图11所示的方法的各步骤后的被处理体的状态的截面图。
图13是表示执行图11所示的方法的各步骤后的被处理体的状态的截面图。
图14是表示包括图1所示的方法或者图9所示的方法的、处理被处理体的方法的另一实施方式的流程图。
图15是表示执行图14所示的方法的各步骤后的被处理体的状态的截面图。
图16是表示执行图14所示的方法的各步骤后的被处理体的状态的截面图。
附图标记说明
10 等离子体处理装置
12 处理容器
PD 载置台
ESC 静电卡盘
LE 下部电极
30 上部电极
34 顶板
40 气体源组
50 排气装置
62 第一高频电源
64 第二高频电源
70 电源
Cnt 控制部
W 晶片
MK 掩模
UR 基底区域
SB 基板
EL 被蚀刻层
OL 有机膜
AL 防反射膜
MK1 掩模
SX、SX2 硅氧化膜。
具体实施方式
以下,参照附图对各种实施方式进行详细地说明。此外,在各附图中,对相同或者对应的部分标注相同的附图标记。
图1是表示一个实施方式的处理被处理体的方法的流程图。图1所示的方法MTA是包含调整被处理体(以下,有时称为“晶片W”)的抗蚀剂掩模的尺寸,缩小该抗蚀剂掩模的开口宽度的方法。在一个实施方式的方法MTA中,能够使用单一的等离子体处理装置执行一系列的步骤。
图2是表示等离子体处理装置的一例的图。在图2中概略地表示了在处理被处理体的方法的各种实施方式中能够利用的等离子体处理装置10的截面构造。图2表示的等离子体处理装置10是容量耦合型的等离子体蚀刻装置。等离子体处理装置10具有处理容器12。处理容器12具有大致圆筒形状。处理容器12例如由铝构成。另外,在处理容器12的内壁面、即划分该处理容器12的内部空间的壁面,实施阳极氧化处理。另外,处理容器12的内壁面能够包括氧化钇这样的陶瓷材料所形成的覆盖。另外,在处理容器12的侧壁12s设置有晶片W的搬入搬出口12g。该搬入搬出口12g能够通过闸阀54而开闭。处理容器12被接地。
在处理容器12内,设置有载置台PD。在载置台PD的上表面保持晶片W。载置台PD包括支承部14、下部电极LE和静电卡盘ESC。支承部14具有大致圆筒形状,由石英这样的绝缘材料构成。支承部14在处理容器12内从处理容器12的底部在铅直方向上延伸。该支承部14支承下部电极LE和静电卡盘ESC。
下部电极LE包括第一板18a和第二板18b。第一板18a和第二板18b例如由铝这样的金属构成,形成为大致圆盘形状。第二板18b设置在第一板18a上,与第一板18a电连接。
在第二板18b上设置有静电卡盘ESC。静电卡盘ESC具有在一对绝缘层或者绝缘片之间配置有作为导电膜的电极的结构。直流电源22经由开关23与静电卡盘ESC的电极电连接。该静电卡盘ESC通过来自直流电源22的直流电压产生的库仑力等的静电力吸附晶片W。由此,静电卡盘ESC能够保持晶片W。
在第二板18b的周缘部上,以包围晶片W的边缘和静电卡盘ESC的方式配置有聚焦环FR。该聚焦环FR是为了提高等离子体处理的均匀性而设置的。聚焦环FR能够根据等离子体处理适当选择材料来形成,例如能够由石英构成。
在第二板18b的内部,设置有制冷介质流路24。制冷介质流路24构成温度调节机构。从设置在处理容器12的外部的冷却器单元经由配管26a对该制冷介质流路24供给制冷介质。供给到制冷介质流路24的制冷介质经由配管26b返回到冷却器单元。像这样,制冷介质在制冷介质流路24中循环供给。通过控制该制冷介质的温度,能够控制由静电卡盘ESC支承的晶片W的温度。
另外,在等离子体处理装置10设置有气体供给管28。气体供给管28将来自导热气体供给机构的导热气体、例如He气体供给到静电卡盘ESC的上表面与晶片W的背面之间。
另外,在等离子体处理装置10设置有作为加热元件的加热器HT。加热器例如埋入在第二板18b内。在加热器HT连接有加热器电源HP。从加热器电源HP向加热器HT供给电力,由此调整载置台PD的温度,从而能够调整载置在该载置台PD上的晶片W的温度。此外,加热器HT也可以内置在静电卡盘ESC中。
另外,等离子体处理装置10具有上部电极30。上部电极30在载置台PD的上方与该载置台PD相对配置。下部电极LE与上部电极30相互大致平行地设置。
上部电极30隔着绝缘性遮挡部件32被支承在处理容器12的上部。绝缘性遮挡部件32由绝缘材料构成,例如,能够由石英构成。上部电极30包含顶板34和电极支承体36。顶板34面向处理空间S,在该顶板34设置有多个气体喷出孔34a。该顶板34由硅构成。
电极支承体36将顶板34以能够自由装卸的方式支承,例如能够由铝这样的导电性材料构成。该电极支承体36能够具有水冷构造,在电极支承体36的内部设置有气体扩散室36a。与气体喷出孔34a连通的多个气体流通孔36b从该气体扩散室36a向下方延伸。另外,在电极支承体36形成有向气体扩散室36a导入处理气体的气体导入口36c,由此,该气体导入口36c与气体供给管38连接。
气体供给管38经由阀门组42和流量控制器组44与气体源组40连接。气体源组40具有多个气体源。多个气体源能够包括含有硅的气体源、氧气源、氮气源、氟碳气体源、稀有气体源和不活泼性气体源。在一个实施方式中,含硅气体为氨基硅烷气体。另外,在另一实施方式中,含硅气体为卤化硅气体。作为卤化硅气体例如能够使用SiCl4气体。另外,作为卤化硅气体也可以使用SiBr4气体、SiF4气体SiH2Cl4气体。另外,作为氟碳气体能够使用CF4气体、C4F6气体、C4F8气体这样的任意的氟碳气体。另外,作为稀有气体能够使用He气体、Ne气体、Ar气体、Kr气体这样的任意的稀有气体。另外,作为不活泼性气体,没有特别的限定,可以使用氮气。
阀门组42包含多个阀门,流量控制器组44包含称为质量流量控制器的多个流量控制器。气体源组40的多个气体源分别经由阀门组42的对应的阀门和流量控制器组44的对应的流量控制器与气体供给管38连接。因此,等离子体处理装置10能够将来自从气体源组40的多个气体源中选择的一个以上的气体源的气体以单独地调整后的流量,供给到处理容器12内。
在处理容器12内、且在支承部14与处理容器12的侧壁12s之间,设置有排气板48。排气板48例如能够通过在铝材覆盖氧化钇等的陶瓷来形成。在该排气板48形成有多个贯通孔。在排气板48的下方,排气管52连接到处理容器12的底部。在该排气管52连接有排气装置50。排气装置50具有涡轮分子泵等的真空泵,能够将处理容器12内的空间减压到要求的真空度。
另外,等离子体处理装置10还具有第一高频电源62和第二高频电源64。第一高频电源62是产生等离子体生成用的第一高频的电源,产生27~100MHz的频率、例如40MHz的高频。第一高频电源62经由匹配器66与上部电极30连接。匹配器66是用于使第一高频电源62的输出阻抗与负载侧(上部电极30侧)的输入阻抗匹配的电路。此外,第一高频电源62可以经由匹配器66与下部电极LE连接。
第二高频电源64是产生用于向晶片W引入离子的第二高频、即高频偏置电力的电源,产生400kHz~13.56MHz的范围内的高频、例如3.2MHz的高频偏置电力。第二高频电源64经由匹配器68与下部电极LE连接。匹配器68是用于使第二高频电源64的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。
另外,等离子体处理装置10还具有电源70。电源70与上部电极30连接。电源70对上部电极30施加负的直流电压,该负的直流电压用于将处理空间S内存在的正离子引入到顶板34。
在使用该等离子体处理装置10执行等离子体处理时,来自从气体源组40的多个气体源中选择的气体源的气体被供给到处理容器12内。被供给到处理容器12内的气体被由来自第一高频电源62的高频产生的高频电场激励。由此,在处理容器12内的处理空间S中产生等离子体。此外,处理空间S是等离子体处理装置10中生成等离子体的空间,是比排气板48靠上方的处理容器12内的空间。划分该处理空间S的等离子体处理装置10的内壁面包括处理容器12的侧壁12s的内壁面12i、载置台PD的表面和顶板34的下表面34i。当在这样的处理空间S中生成等离子体时,利用离子和自由基这样的活性种对载置在载置台PD上的晶片W进行处理。此外,在等离子体处理中,当需要对晶片W进行离子的引入的情况下,在执行该等离子体处理时,来自第二高频电源64的高频偏置电力可以被施加到下部电极LE。另外,当在等离子体处理中需要对上部电极30进行正离子的引入的情况下,在执行该等离子体处理时,可以从电源70对上部电极30施加负的直流电压。
在一个实施方式中,等离子体处理装置10还能够具有控制部Cnt。该控制部Cnt是具有处理器、存储部、输入装置、显示装置等的计算机,控制等离子体处理装置10的各部。具体而言,控制部Cnt连接有阀门组42、流量控制器组44、排气装置50、第一高频电源62、匹配器66、第二高频电源64、匹配器68、电源70、加热器电源HP和冷却器单元。
控制部Cn按照基于被输入的方案的程序工作,输出控制信号。根据来自控制部Cnt的控制信号,控制来自气体源组40的气体的供给、排气装置50的排气、来自第一高频电源62和第二高频电源64的高频的供给、来自电源70的电压施加、加热器电源HP的电力供给、来自冷却器单元的制冷介质流量和制冷介质温度。此外,本说明书中公开的处理被处理体的方法的各步骤,通过基于控制部Cn的控制使等离子体处理装置10的各部工作,由此能够执行。
再次参照图1对方法MTA进行详细说明。以下,对方法MTA的实施时使用等离子体处理装置10的例子进行说明。而且,在以下的说明中参照图3~图8。图3是概略地表示图1所示的方法的实施之前的被处理体的状态和等离子体处理装置的状态的截面图。图4和图6是概略地表示图1所示的方法的实施的中途阶段的被处理体的状态和等离子体处理装置的状态的截面图。图5是表示对上部电极施加负的直流电压时的正离子的行动的图。图7是概略地表示图1所示的方法的实施结束时的被处理体的状态和等离子体处理装置的状态。图8是与图1所示方法关联的时序图。图8中表示卤化硅气体的等离子体的生成、氧气的等离子体的生成、稀有气体的等离子体的生成和吹扫执行的时序图。关于图8的等离子体的时序图中,High电平(图中以“H”标记)表示生成各气体的等离子体,Low电平(图中以“L”标记)表示不生成各气体的等离子体。另外,图8中也表示了关于吹扫的时序图。在关于吹扫的时序图中,High电平(图中以“H”标记)表示正在进行吹扫,Low电平(图中以“L”标记)表示没有进行吹扫。
图1所示的方法MTA中,首先准备图3的(a)所示的晶片W。晶片W包含基底区域UR和掩模MK。基底区域UR是掩模MK的基底,是包含被蚀刻层的区域。在方法MTA中,这样的晶片W被收纳在等离子体处理装置10的处理容器12内,如图3的(b)所示,被载置在载置台PD上。
并且,在方法MTA中,反复执行流程SQA。流程SQA包括步骤STA1、步骤STA2、步骤STA3、和步骤STA4。另外,流程SQA还可以包括执行吹扫的步骤STP1、步骤STP2、步骤STP3和步骤STP4。
如图1所示,在步骤STA1中,第一气体被供给到处理容器12内。在步骤STA1的一个实施方式中,第一气体作为含硅气体含有卤化硅气体。第一气体作为卤化硅气体例如含有SiCl4气体。此外,第一气体作为卤化硅气体也可以含有SiBr4气体、SiF4气体SiH2Cl4气体。另外,第一气体还能够含有He气体、Ne气体、Ar气体或者Kr气体这样的稀有气体。
在第一气体作为含有硅的气体包含卤化硅的实施方式的步骤STA1中,如图8所示,生成第一气体的等离子体。具体而言,在步骤STA1中,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给第一气体(卤化硅气体和稀有气体)。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频。由此,生成第一气体的等离子体。在步骤STA1中生成第一气体的等离子体时,生成第一气体中含有的卤化硅的离解种这样的反应前体。所生成的前体附着在晶片W上,如图4的(a)所示,在晶片W的表面上形成含硅膜SF。另外,所生成的前体,如图4的(b)所示,在处理容器12的内壁面12i、顶板34的下表面34i这样的等离子体处理装置10的内壁面也形成含硅膜SF。
在步骤STA1的另一实施方式中,第一气体作为含硅气体包含氨基硅烷气体。该实施方式即第一气体含有氨基硅烷的实施方式中,在步骤STA1中不生成等离子体。在使用含有氨基硅烷的第一气体的实施方式中,与生成含有卤化硅气体的第一气体的等离子体的实施方式同样地,能够在晶片W的表面和等离子体处理装置10的内壁面形成含硅膜SF。
如图1和图8所示,在接下来的步骤STP1中,处理容器12内的空间被吹扫。具体而言,在步骤STA1中所供给的第一气体被排气。在步骤STP1中,将氮气这样的不活泼性气体作为吹扫气体供给到等离子体处理装置的处理容器12内。即,在步骤STP1中,执行在处理容器12内流通不活泼性气体的气体吹扫、或者基于抽真空的吹扫、或者气体吹扫和基于抽真空的吹扫者两者。在该步骤STP1中除去在晶片W上过剩地附着的前体。
在接下来的步骤STA2中,在处理容器12内,生成He气体、Ne气体、Ar气体或者Kr气体这样的稀有气体的等离子体。具体而言,在步骤STA2中,从选自气体源组40的多个气体源中的气体源向处理容器12内供给稀有气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。并且从第一高频电源62供给高频。由此,生成稀有气体的等离子体。接着,在步骤STP2中,与步骤STP1同样地吹扫处理容器12内的空间。
在接下来的步骤STA3中,在处理容器12内生成还有氧气的第二气体的等离子体。在一个实施方式中,第二气体在氧气外还含有He气体、Ne气体、Ar气体或者Kr气体这样的稀有气体,在步骤STA3中,如图8所示,生成氧气的等离子体和稀有气体的等离子体。具体而言,在步骤STA3中,从选自气体源组40的多个气体源中的气体源向处理容器12内供给第二气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为要求的压力。并且,从第一高频电源62供给高频。另外,在一个实施方式的步骤STA3中,从电源70向上部电极30施加负的直流电压。从电源70向上部电极30施加的负的直流电压为-500V以下的电压值。
如图5所示,在步骤STA3中,生成第二气体的等离子体PL。然后,通过对上部电极30施加负的直流电压,正离子(图中以由圆圈包围的“+”表示)与在顶板34的下表面34i形成的含硅膜SF碰撞。由此,如图6的(b)所示,将在顶板34的下表面34i形成的含硅膜SF除去。另一方面,在顶板34的下表面34i以外的等离子体处理装置10的内壁面、即在处理容器12的内壁面12i等形成的含硅膜SF与氧发生反应,由此改性为硅氧化膜SX。另外,如图6的(a)所示,晶片W上的含硅膜SF也改性为硅氧化膜SX。
在接下来的步骤STP3中,与步骤STP1和步骤STP2同样地对处理容器12内的空间进行吹扫。在接下来的步骤STA4中,与步骤STA2同样地在处理容器12内生成稀有气体的等离子体。在接下来的步骤STP4中,与步骤STP1和步骤STP2和步骤STP3同样地进行处理容器12内的空间的吹扫。
在接下来的步骤STJ中,判断流程SQA的执行是否结束。具体而言,在步骤STJ中判断流程SQA的执行次数是否达到规定次数。流程SQA的执行次数决定在晶片W的表面和等离子体处理装置10的内壁面形成的硅氧化膜SX的膜厚。即,由通过执行1次流程SQA形成的硅氧化膜的膜厚和流程SQA的执行次数的乘积,实质上决定最终形成的硅氧化膜SX的膜厚。因此,根据在晶片W的表面上形成的硅氧化膜的要求膜厚,设定流程SQA的执行次数。
在步骤STJ中判断为流程SQA的执行次数没有达到规定次数的情况下,从步骤STA1起反复执行流程SQA。另一方面,在步骤STJ中判断为流程SQA的执行次数已经达到规定次数的情况下,结束流程SQA的执行。通过像这样反复进行流程SQA,如图7的(a)所示,在晶片W的表面上形成具有要求的膜厚的硅氧化膜SX。另外,如图7的(b)所示,在等离子体处理装置10的内壁面的全部区域中、顶板34的下表面34i以外的区域即处理容器12的内壁面12i等,有选择地形成作为覆膜的硅氧化膜SX。
在该方法MTA中,能够将硅氧化膜SX的膜厚调整为对应于流程SQA的执行次数的要求的膜厚,所以能够调整掩模MK的尺寸将该掩模MK的开口的宽度调整为所要求的宽度。另外,在等离子体处理装置10的内壁面的整个区域中、顶板34的下表面34i以外的区域有选择地形成作为覆膜的硅氧化膜SX。另外,因为在执行用于掩模MK的尺寸的调整的流程SQA时,能够在等离子体处理装置10的内壁面有选择地形成硅氧化膜SX,所以能够抑制生产量的降低。
此外,在上述的说明中,叙述了在步骤STA3中从电源70对上部电极30施加负的直流电压的例子,但是,来自电源70的负的直流电压能够在步骤STA2、步骤STA3和步骤STA4中的至少一个步骤中向上部电极30施加。由此,在流程SQA的执行中能够有选择地除去形成于顶板34的下表面34i的膜。
另外,根据方法MTA,在步骤STA1和步骤STA3之间的步骤STA2中,通过稀有气体原子的活性种使在含硅膜SF的前体表面的结合活化。另外,在步骤STA4中,使硅氧化膜SX的表面的结合活化。由此,消除了硅氧化膜SX中的Si-O的网中的氧缺损。因此,使想成的硅氧化膜SX致密化,成为具有高密度的膜。由此,即使是具有提供以高纵横比形成的开口的掩模MK的晶片W,也在该晶片W的表面上共形地形成具有高面内均匀性和共形的覆盖性的硅氧化膜SX。即,降低在晶片W的表面上形成的硅氧化膜SX的膜厚的不均。
更具体而言,如图7的(a)所示,在晶片W上形成的硅氧化膜SX包括区域R1、区域R2和区域R3。区域R3是在掩模MK的侧面、即划分开口OP的侧壁面上沿着该侧面延伸的区域。区域R1在掩模MK的上表面之上和区域R3上延伸。另外,区域R2是在相邻的R3之间且在基底区域UR的表面上延伸。根据方法MTA,即使是具备具有高纵横比的开口OP的掩模MK的晶片W,也能够使区域R1、区域R2和区域R3各自的硅氧化膜的膜厚T1、T2、T3的差异降低。
另外,在一个实施方式的步骤STA1中,作为前体用的气体使用卤化硅气体。卤化硅气体例如SiCl4气体、SiBr4气体、SiF4气体或者SiH2Cl4气体在常温下为气化状态。因此,在一个实施方式的步骤STA1中,不使用具有气化器的专用的成膜装置,就能够使含有硅的前体在低温下堆积在晶片W上和等离子体处理装置10的内壁面。
此外,在作为前体用的气体使用卤化硅气体的实施方式中,执行步骤STA1时的处理容器12内的压力没有特别的限定,被设定为13.33Pa(100mTorr)以上的压力。另外,执行该实施方式的步骤STA1时的第一高频电源62的高频电力设定为100W以下的电力。通过以这样的高压且低功率的条件生成等离子体,能够抑制卤化硅气体的过剩的离解。即,能够抑制过剩地产生卤素的活性种。此外,作为产生抑制了过剩离解的同样的等离子体状态的方法,也可以使用第二高频电源64。由此,能够抑制掩模MK的损伤和/或已经形成的硅氧化膜的损伤。此外,能够降低区域R1、区域R2和区域R3的膜厚的差异。而且,在掩模MK存在设计为密的区域和设计为疏的区域的情况下,即掩模MK的图案存在疏密的情况下,能够降低形成在这两种区域的硅氧化膜的膜厚的差异。
另外,在作为前体用的气体使用卤化硅气体的实施方式中,在执行步骤STA1时,来自第二高频电源64的高频偏置电力几乎不被供给到下部电极LE、或者不被供给。这是由于施加高频偏置电力而产生各向异性成分导致的。像这样通过使高频偏置电力的电力为最小限,能够使前体各向同性地附着在晶片W。其结果是,能够使在掩模MK的上表面和侧面、以及该掩模MK1的基底的表面分别形成的硅氧化膜的膜厚的均匀性提高。此外,在使用第二高频电源64生成等离子体的情况下,为了使前体各向同性地附着,需要选择使离子能量为最小限的条件。另外,步骤STA3的执行为了将在步骤STA1附着的前体置换为硅氧化膜,需要与上述步骤STA1同样的各向同性的反应。因此,在步骤STA3中来自第二高频电源64的高频偏置电力也几乎不被供给到下部电极LE、或者不被供给到下部电极LE。
以下,对处理被处理体的方法的另一实施方式进行说明。图9是表示另一实施方式的处理被处理体的方法的流程图。图10是图9所示的方法中的关于等离子体的生成和稀有气体的气体流量的时序图。图10中是表示关于卤化硅气体的等离子体、氧气的等离子体和稀有气体的等离子体的时序图。图10的关于等离子体的时序图中,High电平(图中以“H”标记)表示生成各气体的等离子体,Low电平(图中以“L”标记)表示不生成各气体的等离子体。另外,图10中也表示了关于供给到等离子体处理装置的处理容器内的稀有气体的流量的时序图。关于稀有气体的流量的时序图中,电平越高表示稀有气体的流量越高。
图9所示的方法MTB,通过反复执行流程SQB,在晶片W的表面上形成硅氧化膜SX。此外,方法MTB的步骤STJ是与方法MTA的步骤STJ同样地判断流程的执行的结束的步骤。
流程SQB包括步骤STB1、步骤STB2、步骤STB3和步骤STB4。步骤STB1是与流程SQA的一个实施方式的步骤STA1同样的步骤,该步骤STB1中,在等离子体处理装置10的处理容器12内生成包含卤化硅气体和稀有气体的第一气体的等离子体。步骤STB2是与流程SQA的步骤STA2同样的步骤,在该步骤STB2中,在处理容器12内生成稀有气体的等离子体。步骤STB3是与流程SQA的步骤STA3同样的步骤,在该步骤STB3中,在处理容器12内生成第二气体的等离子体。另外,步骤STB4是与流程SQA的步骤STA4同样的步骤,在该步骤STB4中,在处理容器12内生成稀有气体的等离子体。此外,对上部电极30的负的直流电压的施加可以在步骤STB2、步骤STB3和步骤STB4中的至少一个步骤中执行。
在流程SQB中,可以按顺序连续执行步骤STB1、步骤STB2、步骤STB3和步骤STB4。即,在流程SQB中,执行流程SQA的步骤STP1、步骤STP2、步骤STP3和步骤STP4这样的吹扫。
另外,在流程SQB中,如图10所示,在步骤STB1、步骤STB2、步骤STB3和步骤STB4中生成稀有气体的等离子体。即,在流程SQB的执行期间中,向处理容器12内供给稀有气体,生成该稀有气体的等离子体。在一个实施方式中,在最初执行的流程SQB的步骤STB1的执行之前,向处理容器12内供给稀有气体,然后供给等离子体生成用的高频,由此生成稀有气体的等离子体。之后,也可以向处理容器12内供给卤化硅气体,由此生成第一气体的等离子体。
在包含这样的流程SQB的方法MTB中,在步骤STB1中供给到处理容器12内的卤化硅气体,在步骤STB2的稀有气体的等离子体的生成中,被从处理容器12内的空间排出。在一个实施方式的步骤STB2中,利用发射光谱计测法(OES:Optical Emission Spectroscopy)测量处理容器12内的等离子体的发光,在成为大致不能观察到基于卤化硅气体的发光的状态时,能够结束该步骤STB2。另外,在步骤STB3中向处理容器12内供给的氧气,在步骤STB4的稀有气体的等离子体的生成中被从处理容器12内的空间排出。在一个实施方式的步骤STB4中,利用OES测量处理容器12内的等离子体的发光,当成为大致不能观察到基于氧气的发光的状态时,能够结束该步骤STB4。
根据以上的说明可知,在方法MTB中,不需要另行进行吹扫。另外,能够省略用于等离子体的稳定化的期间。即,在利用等离子体进行的各步骤的执行前不需要确保为了使等离子体稳定化的期间。因此,根据方法MTB能够改善生成量。
在该方法MTB中,在流程SQB执行期间中被供给的稀有气体的量可以是一定的,也可以改变。在一个实施方式中,如图10所示,设定为在步骤STB4中供给到处理容器12内的稀有气体的流量,比在步骤STB3中供给到处理容器12内的稀有气体的流量大的流量。由此,能够将在步骤STB3中所使用的氧气从处理容器12内的空间高速地排出。因此,能够进一步改善生产量。
另外,在一个实施方式中,也可以设定为在步骤STB4中供给到处理容器12内的稀有气体的流量是在步骤STB3中供给到处理容器12内的稀有气体的流量的5倍以上的流量。通过在步骤STB4中使用这样的流量的稀有气体,能够将在步骤STB3中所使用得氧气更高速地从处理容器12内的空间排出。
以下,对包括方法MTA或者方法MTB的处理被处理体的方法的一个实施方式进行说明。图11是表示包括图1所示的方法或者图9所示的方法的处理被处理体的方法的一个实施方式的流程图。另外,图12和图13是表示执行图11所示的方法的各步骤后的被处理体的状态的截面图。
在图11所示的方法MT1中,首先,在步骤ST1中准备晶片W。在步骤ST1中准备的晶片W,如图12的(a)所示,作为基底区域UR具有基板SB、被蚀刻层EL、有机膜OL和防反射膜AL,还具有掩模MK1。被蚀刻层EL设置在基板SB上。被蚀刻层EL在一个实施方式中是由氧化硅(SiO2)构成的层。有机膜OL设置在被蚀刻层EL上。有机膜OL是含碳的层,例如是SOH(旋涂硬质掩模)层。防反射膜AL是含有硅的防反射膜,设置在有机膜OL上。
掩模MK1设置在防反射膜AL上。掩模MK1是由抗蚀剂材料构成的抗蚀剂掩模,利用光刻技术对抗蚀剂层图案化而制成。掩模MK1将防反射膜AL部分覆盖。另外,掩模MK1提供使防反射膜AL部分地露出的开口OP1。掩模MK1的图案例如是线和空白的图案。此外,掩模MK1在俯视时具有提供圆形的开口的图案。或者,掩模MK1在俯视时具有提供椭圆形的开口的图案。
在步骤ST1中,准备如图12的(a)所示的晶片W,该晶片W被收纳在等离子体处理装置10的处理容器12内,载置在载置台PD上。
在方法MT1中,接着执行步骤ST2。在步骤ST2中对晶片W照射二次电子。具体而言,向处理容器12内供给氢气和稀有气体,从第一高频电源62供给高频,由此生成等离子体。另外,通过电源70对上部电极30施加负的直流电压。由此,处理空间S中的正离子被引入到上部电极30,该正离子与上部电极30的顶板34发生碰撞。通过正离子与顶板34的碰撞,从顶板34释放出二次离子。然后通过对晶片W照射所释放出的二次离子,掩模MK1被改性。此外,在施加到上部电极30的负的直流电压的绝对值的电平高的情况下,通过正离子与顶板34碰撞,作为该顶板34的构成材料的硅与二次离子一起被释放。所释放出的硅与从曝露在等离子体中的等离子体处理装置10的构成部件释放出的氧结合。该氧例如从支承部14和绝缘性遮挡部件32这样的部件释放出来。通过这样的硅和氧的结合,生成氧化硅化合物,该氧化硅化合物堆积在晶片W上,覆盖和保护掩模MK1。通过这些改性和保护的效果,能够抑制后续的步骤对掩模MK1的损伤。此外,在步骤ST2中,为了基于二次离子的照射产生的改性和保护膜的形成,使第二高频电源64的高频偏置电力的电力为最小限,也可以抑制硅的释放。
接着,在方法MT1中执行步骤ST3。在步骤ST3中执行上述的方法MTA或者方法MTB。由此,如图12的(b)所示,在掩模MK1的表面上和防反射膜AL上形成硅氧化膜SX。另外,如图7的(b)所示,硅氧化膜SX在顶板34的下表面34i以外的等离子体处理装置10的内壁面有选择性地形成。
在方法MT1中,接着执行步骤ST4。在步骤ST4中,以将区域R1、区域R2和区域R3中的区域R3保留的方式,对在晶片W上的硅氧化膜SX进行蚀刻。即,蚀刻晶片W上的硅氧化膜SX,使得除去区域R1和区域R2。为了除去这些区域R1和区域R2,需要各向异性的蚀刻条件。因此,在步骤ST4中,从选自气体源组40的多个气体源中的气体源向处理容器12内供给包含氟碳气体的处理气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频来生成等离子体。并且,从第二高频电源64供给高频偏置电力。由此,生成氟碳气体的等离子体。所生成的等离子体中的含氟的活性种由于高频偏置电力而向铅直方向引入,由此优先地蚀刻区域R1和区域R2。其结果是,如图12的(c)所示,除去区域R1和区域R2,由保留的区域R3形成掩模MS。掩模MS与掩模MK1一起形成以使掩模MK1的开口OP1的宽度缩小的方式构成的掩模MK2。通过该掩模MK2提供比开口OP1的宽度小的宽度的开口OP2。
接着,在步骤ST5中,蚀刻防反射膜AL。具体而言,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给含有氟碳气体的处理气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频。并且,从第二高频电源64供给高频偏置电力。由此生成氟碳气体的等离子体。所生成的等离子体中的含氟的活性种将防反射膜AL的全部区域中从掩模MK2露出的区域蚀刻。由此,如图13的(a)所示,从防反射膜AL形成掩模ALM。之后,可以除去掩模MK2。
在接下来的步骤ST6中,蚀刻有机膜OL。具体而言,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给含有氧气的处理气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频。并且,从第二高频电源64供给高频偏置电力。由此,生成含有氧气的处理气体的等离子体。所生成的等离子体中的氧的活性种蚀刻有机膜OL的全部区域中从掩模ALM露出的区域。由此,如图13的(b)所示,由有机膜OL形成掩模OLM。该掩模OLM提供的开口OP3的宽度与开口OP2(参照图12的(c))的宽度大致相同。此外,作为蚀刻有机膜OL的气体,可以使用含有氮气和氢气的处理气体。
在执行步骤ST6时产生的活性种,如果等离子体处理装置10的内壁面没有被硅氧化膜SX覆盖,则会对该内壁面造成损伤,所以能够从该内壁面释放出颗粒。但是,在执行方法MT1的步骤ST6时,由于等离子体处理装置10的内壁面被硅氧化膜SX覆盖,所以抑制了从该内壁面产生颗粒。
接着,在步骤ST7中,对被蚀刻层EL进行蚀刻。具体而言,从选自气体源组40的多个气体源中的气体源向处理容器12内供给处理气体。处理气体为了对构成被蚀刻层EL的材料、即氧化硅进行蚀刻而能够适当选择。例如,处理气体能够含有氟碳气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,在步骤ST7中,从第一高频电源62供给高频。并且,从第二高频电源64供给高频偏置电力。由此,生成处理气体的等离子体。所生成的等离子体中的活性种蚀刻被蚀刻层EL的全部区域中从掩模OLM露出的区域。由此,如图13的(c)所示,掩模OLM的图案被转印到被蚀刻层EL。另外,在步骤ST7中,形成在等离子体处理装置10的内壁面的硅氧化膜SX也被除去。由此,在步骤ST7结束后,等离子体处理装置10的内壁面的状态成为与图3的(b)所示的初始的状态大致相同的状态。
在方法MT1中,能够用单一的等离子体处理装置10执行步骤ST2~步骤ST7、即从基于抗蚀剂掩模的掩模的制作到被蚀刻层的蚀刻的全部的步骤。因此,能够省略晶片W的搬送时间,能够以高生产量实现被蚀刻层EL的高分辨率的蚀刻。
以下,对于包括方法MTA或者方法MTB的处理被处理体的方法的另一实施方式进行说明。图14是表示包括图1所示的方法或者图9所示的方法的处理被处理体的方法的另一实施方式的流程图。另外,图15和图16是表示执行图14所示的方法的各步骤后的被处理体的状态的截面图。
在图14所示的方法MT2中,首先执行步骤ST21。步骤ST21是与方法MT1的步骤ST1同样的步骤。因此,在步骤ST21中,准备图15的(a)所示的晶片W、即与图12的(a)所示的晶片相同的晶片W,该晶片W被收纳在处理容器12内,载置在载置台PD上。
接着,在方法MT2中,执行与方法MT1的步骤ST2同样的步骤ST22。即,对晶片W照射二次电子将掩模MK1改性。另外,在对上部电极30施加的负的直流电压的绝对值的电平高的情况下,关于步骤ST2,如上所述,通过顶板34的溅射而从该顶板34释放出的硅和从曝露于等离子体中的等离子体处理装置10的构成部件释放出的氧结合,生成氧化硅化合物,该氧化硅化合物堆积在晶片W上可以保护掩模MK1。
在接下来的步骤ST23中,防反射膜AL被蚀刻。具体而言,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给包含氟碳气体的处理气体。另外,使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频。并且,从第二高频电源64供给高频偏置电力。由此,生成氟碳气体的等离子体。所生成的等离子体中的含氟的活性种将防反射膜AL的全部区域中的从掩模MK1露出的区域蚀刻。由此,如图15的(b)所示,由防反射膜AL形成掩模ALM2。
接着,在步骤ST24中,在如图15的(b)所示的晶片W的表面上形成保护膜PF。该保护膜PF是为了保护有机膜OL不受到在执行后续的方法MTA或者方法MTB时产生的氧的活性种损伤。
在一个实施方式的步骤ST24中,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给例如包含氢气和稀有气体的混合气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频。由此,在处理容器12内生成等离子体。并且,从电源70向上部电极30施加负的直流电压。由此,等离子体中的正离子与顶板34碰撞,从该顶板34释放出硅。另外,从曝露在等离子体中的等离子体处理装置10的部件释放出氧。像这样,释放出的氧与从顶板34释放出的硅结合,生成氧化硅,该氧化硅堆积在晶片W上,如图15的(c)所示,形成氧化硅制的保护膜PF。
在另一实施方式的步骤ST24中,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给包含卤化硅气体和氧气的混合气体。另外,从第一高频电源62供给高频。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。由此,生成氧化硅,该氧化硅堆积在晶片W上,如图15的(c)所示,形成保护膜PF。
在方法MT2中,接着执行步骤ST25。在步骤ST25中,执行上述的方法MTA或者方法MTB。由此,如图15的(d)所示,在晶片W的表面上形成硅氧化膜SX2。硅氧化膜SX2包括区域R1、区域R2和区域R3。区域R3是在掩模MK1和掩模ALM2的侧面上沿着该侧面延伸的区域。区域R1在掩模MK1的上表面之上和区域R3上延伸。区域R3从形成在有机膜OL上的保护膜PF的表面延伸到区域R1的下侧。另外,区域R2在相邻的区域R3之间且在有机膜OL的表面上(即,有机膜OL上的保护膜PF上)延伸。另外,在步骤ST25中,硅氧化膜也有选择地形成在顶板34的下表面34i以外的等离子体处理装置10的内壁面。参照在图7的(b)中由参照附图标记SX表示的区域。
接着,在方法MT2中执行步骤ST26。在步骤ST26中,以将区域R1、区域R2和区域R3中的区域R3保留的方式对晶片W上的硅氧化膜SX2进行蚀刻。即,蚀刻硅氧化膜SX2,使得除去区域R1和区域R2的方式。具体而言,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给含有氟碳的处理气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频。并且从第二高频电源64供给高频偏置电力。由此,生成氟碳的等离子体。所生成的等离子体中的含氟的活性种由于高频偏置电力而被向铅直方向引入,由此优先蚀刻区域R1和区域R2。其结果是,如图16的(a)所示,形成除去了区域R1和区域R2而保留区域R3的掩模MS2。掩模MS2与掩模ALM2一起形成掩模MK22,使得掩模MK1的开口OP1的宽度缩小。利用该掩模MK22能够提供比开口OP1的宽度小的宽度的开口OP2。
接着,在步骤ST27中,有机膜OL被蚀刻。具体而言,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给含有氧气的处理气体。另外,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,从第一高频电源62供给高频。并且,从第二高频电源64供给高频偏置电力。由此,生成含有氧气的处理气体的等离子体。所生成的等离子体中的氧的活性种对有机膜OL的全部区域中的从掩模MK22露出的区域进行蚀刻。由此,如图16的(b)所示,由有机膜OL形成掩模OLM。该掩模OLM提供的开口OP3的宽度与开口OP2(参照图12的(a))的宽度大致相同。此外,作为蚀刻有机膜OL的气体,可以使用还有氮气和氢气的处理气体。在该步骤ST27中,也与方法MT1的步骤ST6同样地,由于等离子体处理装置10的内壁面由硅氧化膜覆盖,所以能够抑制从该内壁面产生颗粒。
接着,在步骤ST28中,蚀刻被蚀刻层EL。具体而言,从选自气体源组40的多个气体源中的气体源,向处理容器12内供给含有氧气的处理气体。为了对构成被蚀刻层EL的材料、即氧化硅进行蚀刻,能够适当选择处理气体。例如,处理气体能够含有氟碳气体。另外,在步骤ST28中,通过使排气装置50工作,将处理容器12内的空间的压力设定为规定的压力。另外,在步骤ST28中,从第一高频电源62供给高频。并且,从第二高频电源64供给高频偏置电力。由此,生成处理气体的等离子体。所生成的等离子体中的活性种对被蚀刻层EL的全部区域中的从掩模OLM露出的区域进行蚀刻。由此,如图16的(c)所示,掩模OLM的图案被转印到被蚀刻层EL。另外,在步骤ST28中,在等离子体处理装置10的内壁面形成的硅氧化膜被除去。由此,在步骤ST28结束后,等离子体处理装置10的内壁面的状态成为与图3的(b)所示的初始的状态大致相同的状态。
在该方法MT2中,能够使用单一的等离子体处理装置10执行步骤ST22~步骤ST28、即从基于抗蚀剂掩模的掩模的制作至被蚀刻层的蚀刻的全部步骤。因此,能够节省晶片W的搬送的时间,能够以高生产量实现被蚀刻层EL的高分辨率的蚀刻。
以上,对于各种实施方式进行了说明,但并不限定于上述的实施方式,能够构成各种变形方式。例如,在上述的方法MTA、方法MTB、方法MT1和方法MT2的说明中,表示了使用等离子体处理装置10的例子,但也能够使用包括具有硅制的顶板的上部电极的任意的电容耦合型的等离子体处理装置实施方法MTA、方法MTB、方法MT1和方法MT2。

Claims (15)

1.一种使用电容耦合型的等离子体处理装置对被处理体进行处理的方法,所述方法的特征在于:
所述被处理体具有掩模,
所述等离子体处理装置包括:
提供处理空间的处理容器;
用于在其上载置所述被处理体的载置台,该载置台包括下部电极;和
包括硅制的顶板的上部电极,该上部电极隔着该处理容器内的处理空间与所述载置台相对,
所述方法包括:
第一步骤,向收纳有所述被处理体的所述处理容器内供给包含含硅气体的第一气体;
在执行所述第一步骤之后,在所述处理容器内生成稀有气体的等离子体的第二步骤;
在执行所述第二步骤之后,在所述处理容器内生成含有氧气的第二气体的等离子体的第三步骤;和
在执行所述第三步骤之后,在所述处理容器内生成稀有气体的等离子体的第四步骤,
反复执行包括所述第一步骤、所述第二步骤、所述第三步骤和所述第四步骤的流程,由此形成硅氧化膜,
在所述第二步骤、所述第三步骤和所述第四步骤的至少任一者中,对所述上部电极施加负的直流电压。
2.如权利要求1所述的方法,其特征在于:
所述含硅气体为氨基硅烷气体,在所述第一步骤中不生成等离子体。
3.如权利要求1所述的方法,其特征在于:
所述含硅气体为卤化硅气体,在所述第一步骤中,生成所述第一气体的等离子体。
4.如权利要求3所述的方法,其特征在于:
所述含硅气体为SiCl4气体。
5.如权利要求3或4所述的方法,其特征在于:
所述第一步骤、所述第二步骤、所述第三步骤和所述第四步骤按顺序连续执行,
在所述第一步骤、所述第二步骤、所述第三步骤和所述第四步骤中生成所述稀有气体的等离子体。
6.如权利要求5所述的方法,其特征在于:
在所述第四步骤中供给到所述处理容器内的所述稀有气体的流量,比在所述第三步骤中供给到所述处理容器内的所述稀有气体的流量大。
7.如权利要求3~6中任一项所述的方法,其特征在于:
在所述第一步骤中设定以下的高压低电力的条件:所述处理容器内的压力为13.33Pa以上的压力,等离子体生成用的高频电源的电力为100W以下。
8.如权利要求3~7中任一项所述的方法,其特征在于:
在所述第一步骤中,不对所述下部电极供给引入离子用的高频偏置电力。
9.如权利要求1~8中任一项所述的方法,其特征在于:
所述被处理体还具有有机膜,所述掩模设置在该有机膜上,
所述方法还包括:利用在所述处理容器内产生的等离子体,对所述硅氧化膜进行蚀刻,使得保留沿着所述掩模的侧壁形成的所述硅氧化膜的部分区域的步骤;和
利用在所述处理容器内生成的等离子体,对所述有机膜进行蚀刻的步骤。
10.如权利要求9所述的方法,其特征在于:
所述被处理体还具有由硅氧化膜构成的被蚀刻层,所述有机膜设置在该被蚀刻层上,
还包括在执行蚀刻所述有机膜的所述步骤之后,利用在所述处理容器内生成的等离子体,对所述被蚀刻层进行蚀刻的步骤。
11.如权利要求9或10所述的方法,其特征在于:
所述被处理体还具有设置在所述有机膜上的含硅防反射膜,
所述掩模为设置在所述防反射膜上的抗蚀剂掩模,
所述方法还包括:在执行蚀刻硅氧化膜的所述步骤之后、执行蚀刻所述有机膜的所述步骤之前,利用在所述处理容器内产生的等离子体,对所述防反射膜进行蚀刻的步骤。
12.如权利要求11所述的方法,其特征在于:
还包括以下步骤:在反复执行所述流程之前,在所述处理容器内产生等离子体,对所述上部电极施加负的直流电压,由此对所述掩模照射二次电子。
13.如权利要求9或10所述的方法,其特征在于:
还包括以下步骤:在反复执行所述流程之前,利用在所述处理容器内生成的等离子体,对在其上具有抗蚀剂掩模的防反射膜进行蚀刻,来由所述防反射膜形成所述掩模。
14.如权利要求13所述的方法,其特征在于:
还包括以下步骤:在执行蚀刻所述防反射膜的所述步骤之前,在所述处理容器内生成等离子体,对所述上部电极施加负的直流电压,由此对所述抗蚀剂掩模照射二次电子。
15.如权利要求13或14所述的方法,其特征在于:
还包括以下步骤:在执行蚀刻所述防反射膜的所述步骤之后且反复执行所述流程之前,在所述被处理体上形成氧化硅制的保护膜。
CN201610268935.7A 2015-04-27 2016-04-27 处理被处理体的方法 Active CN106098523B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-090158 2015-04-27
JP2015090158A JP6462477B2 (ja) 2015-04-27 2015-04-27 被処理体を処理する方法

Publications (2)

Publication Number Publication Date
CN106098523A true CN106098523A (zh) 2016-11-09
CN106098523B CN106098523B (zh) 2018-09-07

Family

ID=55860709

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610268935.7A Active CN106098523B (zh) 2015-04-27 2016-04-27 处理被处理体的方法

Country Status (6)

Country Link
US (1) US9859126B2 (zh)
EP (1) EP3089198A1 (zh)
JP (1) JP6462477B2 (zh)
KR (1) KR102385488B1 (zh)
CN (1) CN106098523B (zh)
TW (1) TWI689995B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110004431A (zh) * 2017-12-25 2019-07-12 东京毅力科创株式会社 成膜方法
CN110029325A (zh) * 2018-01-10 2019-07-19 东京毅力科创株式会社 成膜方法
CN111819667A (zh) * 2018-08-08 2020-10-23 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9355823B2 (en) * 2014-05-09 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for removing particles from etching chamber
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法
JP6890459B2 (ja) 2017-04-14 2021-06-18 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP6899693B2 (ja) 2017-04-14 2021-07-07 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP6767302B2 (ja) * 2017-04-14 2020-10-14 東京エレクトロン株式会社 成膜方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP7055031B2 (ja) * 2018-02-16 2022-04-15 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
JP6910319B2 (ja) * 2018-04-23 2021-07-28 東京エレクトロン株式会社 有機領域をエッチングする方法
JP7345382B2 (ja) 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP7178918B2 (ja) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム
CN112585727B (zh) * 2019-07-30 2023-09-29 株式会社日立高新技术 装置诊断装置、等离子体处理装置以及装置诊断方法
JP2021039925A (ja) 2019-09-05 2021-03-11 東京エレクトロン株式会社 プラズマプローブ装置、プラズマ処理装置及び制御方法
KR20220097974A (ko) * 2019-11-08 2022-07-08 램 리써치 코포레이션 무선 주파수 (rf) 전력 램핑을 사용한 플라즈마 강화된 원자층 증착 (ald)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102822943A (zh) * 2010-04-02 2012-12-12 东京毅力科创株式会社 掩模图案的形成方法以及半导体装置的制造方法
US20140134812A1 (en) * 2012-11-13 2014-05-15 Dong-chan Kim Method of fabricating semiconductor device

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4987102A (en) * 1989-12-04 1991-01-22 Motorola, Inc. Process for forming high purity thin films
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4456533B2 (ja) 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2007165479A (ja) 2005-12-12 2007-06-28 Tokyo Electron Ltd 成膜装置のプリコート方法、成膜装置及び記憶媒体
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR101101785B1 (ko) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
WO2009031886A2 (en) 2007-09-07 2009-03-12 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP2009199052A (ja) * 2007-09-12 2009-09-03 Ricoh Co Ltd 電子写真用トナー及びその製造法
JP5236983B2 (ja) * 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
JP2009194248A (ja) 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体製造装置及び記憶媒体
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
JP2011066164A (ja) 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR20120001339A (ko) * 2010-06-29 2012-01-04 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP5541183B2 (ja) 2011-02-07 2014-07-09 日本精工株式会社 電動パワーステアリング装置の制御装置
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9922802B2 (en) * 2012-02-20 2018-03-20 Tokyo Electron Limited Power supply system, plasma etching apparatus, and plasma etching method
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
JP5750496B2 (ja) 2013-12-11 2015-07-22 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6366454B2 (ja) 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
JP6382055B2 (ja) 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
JP6559430B2 (ja) 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102822943A (zh) * 2010-04-02 2012-12-12 东京毅力科创株式会社 掩模图案的形成方法以及半导体装置的制造方法
US20140134812A1 (en) * 2012-11-13 2014-05-15 Dong-chan Kim Method of fabricating semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PIETER C.ROWLETTE 等: "Digital Control of SiO2 Film Deposition at Room Temperature", 《JOURNAL OF PHYSICAL CHEMISTRY C》 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110004431A (zh) * 2017-12-25 2019-07-12 东京毅力科创株式会社 成膜方法
CN110029325A (zh) * 2018-01-10 2019-07-19 东京毅力科创株式会社 成膜方法
CN111819667A (zh) * 2018-08-08 2020-10-23 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置

Also Published As

Publication number Publication date
KR20160127674A (ko) 2016-11-04
JP6462477B2 (ja) 2019-01-30
TW201705310A (zh) 2017-02-01
US20160314982A1 (en) 2016-10-27
EP3089198A1 (en) 2016-11-02
TWI689995B (zh) 2020-04-01
CN106098523B (zh) 2018-09-07
US9859126B2 (en) 2018-01-02
JP2016207915A (ja) 2016-12-08
KR102385488B1 (ko) 2022-04-11

Similar Documents

Publication Publication Date Title
CN106098523A (zh) 处理被处理体的方法
CN105845550B (zh) 被处理体的处理方法
CN105489485B (zh) 处理被处理体的方法
EP3007205B1 (en) Workpiece processing method
KR102364434B1 (ko) 에칭 방법
US10217643B2 (en) Method of processing target object
JPWO2012002232A1 (ja) プラズマ処理装置及び方法
CN106067417A (zh) 蚀刻有机膜的方法
CN105914144A (zh) 蚀刻方法
CN105810582A (zh) 蚀刻方法
CN105810581A (zh) 蚀刻方法
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN105810579A (zh) 蚀刻方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant