JP2009194248A - パターン形成方法、半導体製造装置及び記憶媒体 - Google Patents

パターン形成方法、半導体製造装置及び記憶媒体 Download PDF

Info

Publication number
JP2009194248A
JP2009194248A JP2008035161A JP2008035161A JP2009194248A JP 2009194248 A JP2009194248 A JP 2009194248A JP 2008035161 A JP2008035161 A JP 2008035161A JP 2008035161 A JP2008035161 A JP 2008035161A JP 2009194248 A JP2009194248 A JP 2009194248A
Authority
JP
Japan
Prior art keywords
film
pattern
line
width
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008035161A
Other languages
English (en)
Inventor
Akitake Tamura
明威 田村
Teruyuki Hayashi
輝幸 林
Kaoru Fujiwara
馨 藤原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008035161A priority Critical patent/JP2009194248A/ja
Priority to PCT/JP2009/051802 priority patent/WO2009101878A1/ja
Priority to TW98104711A priority patent/TW201001493A/zh
Publication of JP2009194248A publication Critical patent/JP2009194248A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

【課題】基板上の膜にプラズマエッチングにより平行なライン状のパターンを形成するエッチング方法において、前記パターンの微細化を図ること。
【解決手段】基板上のラインと溝とからなるパターンが形成されたレジストマスクに対して、薄膜の成膜、当該薄膜の異方性エッチングによるラインの両側壁への堆積物の形成、ラインの除去及び堆積物をマスクとした堆積物の下方膜のエッチングからなるダブルパターン形成工程を行って当該下方膜にラインと溝とからなるパターンを形成し、次いで堆積物を除去して更に上記ダブルパターン形成工程を行う。この時、当初のラインの幅と溝の開口幅との比を3:5に設定し、また溝に対応する薄膜の開口幅と、ラインの側壁を覆うように成膜された傾斜部分の幅と、の比がダブルパターン形成工程の1回目においては3:1、2回目においては1:1となるように薄膜を成膜する。
【選択図】図1

Description

本発明は、パターン形成方法、半導体製造装置及び前記方法を実行するコンピュータプログラムを格納した記憶媒体に関する。
一般に半導体装置の製造工程においては、フォトリソグラフィ技術を利用して多層化された微細な配線構造を被処理基板である半導体ウエハ(以下、ウエハという。)上に形成している。このフォトリソグラフィでは、ウェハ上の例えば絶縁膜などの被エッチング膜の上層に例えば感光性の樹脂からなるレジスト膜を塗布し、露光工程及び現像工程を経てこのレジスト膜に上述の配線構造に対応した開口部をパターニングしてマスクを形成し、次いでこのマスクを介して被エッチング膜をエッチングすることにより配線構造をパターニングしている。そのため、露光工程に用いられる露光装置の解像度が高くなるほど、つまり露光装置の光源である例えばレーザ光の波長が短くなるほど、マスクのパターン密度が高くなり、微細な配線構造を形成できることになる。
このことから、130nm程度の線幅で露光を行うKrFエキシマレーザを備えた従来の露光装置に替えて、70nm程度の線幅のパターンを形成できるArFエキシマレーザを備えた露光装置が用いられるようになっている。また、ウエハ表面に液膜を形成し、この液膜を介してArFエキシマレーザをウェハに照射することで、更に短波長化したArFレーザにより露光を行う液浸露光と呼ばれる手法を用いて、40〜50nm程度の線幅でパターンを形成する技術が開発されている。
ところで、今後は配線の微細化の要求が進み、30nm程度ないしは20nm程度の線幅で露光することが求められると考えられており、そのためにはさらに波長の短い光源を備えた露光装置が必要になると予想される。しかし、一般に露光装置は高価であり、要求される配線の線幅が微細になる度に露光装置を変えると投資がかさむという問題がある。そこで、ダブルパターニングと呼ばれる手法を用いて配線構造を形成する技術が検討されている。
このようなダブルパターニングが適用される配線構造の一例として、NAND型フラッシュメモリの回路構造について説明する。図16(a)は上側から前記回路構造を見た図であり、図16(b)は同図(a)におけるA−A矢視断面図である。図中101はエッチング処理によりウェハ100表面に直線状に複数形成されたワード線と呼ばれる積層構造を有する膜であり、上側から見ると互いに並行するように形成されている。このワード線101は、図16(b)に示すように、例えば酸化シリコン膜105、ポリシリコン膜106、ONO膜107、ポリシリコン膜108が下からこの順に積層されている。
またウェハ100表面には、ワード線101間を跨いでこのワード線101と直交するように、導体であるシリコン膜102が多数配列されており、これらシリコン膜102は、アクティブと呼ばれる互いに並行する電気が流れる複数のライン102Aを形成している。そして、図16(a)において点線で囲ったシリコン膜102の配列方向とワード線101との交差部分109は、当該交差部分109におけるONO膜107に電荷が蓄積されて、トランジスタの役割を有するように構成されている。
ここで、ワード線101の幅、隣り合うワード線101間における溝101Aの幅を夫々L1、L2とすると、L1に対してL2が大きすぎる場合は十分にONO膜107に電荷が蓄積されないおそれがある。また、L2に対してL1が大きすぎる場合にはワード線101間における隣り合う酸化シリコン膜106,106間及びポリシリコン膜108,108間の寄生容量が大きくなり、これらの膜間に電荷が蓄積されてしまったり、これら膜106,106間及び108,108間で電気が流れてしまったりすることで、デバイスとしての機能が果たせなくなるおそれがある。そこで、概ねL1:L2=1:1となるように溝101Aを形成する必要がある。また、シリコン膜102によるライン102Aの幅、隣り合う前記ライン102Aの間隔を夫々L3、L4とすると、デバイスの機能を担保するためにこれらL3及びL4がL1,L2と略同じ大きさとなるようにライン102Aが形成される。
このNAND型フラッシュメモリにおいては、ワード線101とアクティブのライン102Aとを高密度に形成するほどトランジスタの機能を有する前記交差部分109の高集積化を図ることができ、それによって記憶量の増加を図ることができる。そこで、L1、L2、L3、L4が夫々小さくなるように、既述のダブルパターニングを利用したパターニング方法が検討されている。具体的には、このウェハ100をエッチングする前に、ポリシリコン膜108の表面にSiNなどの無機膜とパターニングされたレジストマスクとを下側からこの順番で積層する。次いで、このレジストマスクを介して無機膜をエッチングしてパターンマスクとして形成し、続いてそのパターンマスクの側壁の左右両側にサイドウォールと呼ばれる堆積物を形成する。そして、無機膜を除去してこの堆積物をマスクとしてポリシリコン膜108をエッチングすると、無機膜に形成された1つのパターンから2つのパターンがポリシリコン膜108に形成される。この手法によれば、レジストマスクにおけるパターンの線幅の略半分の線幅を持ったパターンをその略2倍の密度でポリシリコン膜108に形成することができる。
ところで、露光装置により形成されるレジストパターンは、通常はマスク部分(ライン)の線幅と溝の幅とが概ね1対1になる。そのため、レジストマスクの下層の無機膜においても、このレジストパターンが転写されるので、マスク部分の線幅と溝の幅とが概ね1対1になる。そこで、上述のように最終的にポリシリコン膜108に形成されるパターンの幅(L1、L2(L3、L4))が概ね同じ幅となるように、つまり既述の堆積物からなるパターンのマスク部分の線幅と溝幅とが同程度となるように、図17(a)に示すように無機膜110にライン111と溝とをパターニングした後、エッチングによりライン111の幅を狭めるトリミングやシュリンクと呼ばれる処理を行うようにしている。
しかし、このトリミングを行った場合、ライン111の側壁の形状を垂直に制御することが難しく、図17(b)のようにライン111の側壁の上部側が先細るいわゆる肩落ち形状となってしまう。そのため、同図(c)のようにこの側壁の形状に合わせてサイドウォールである堆積物112が形成されてしまう。このような形状の堆積物112が形成されると、ポリシリコン膜108をエッチングしたときに配線構造の形状が崩れてしまうおそれがある。
また、上記ダブルパターニングを用いても、既述の露光装置を用いてレジストパターンの露光を行っている場合には、ポリシリコン膜108に形成されるパターンの線幅は30nm程度が限界と考えられており、従って配線の微細化の要請がさらに進み、例えば10nm程度の配線を形成する場合には対応できないと考えられている。
そこで、このようなダブルパターニングを2回繰り返すことにより、微細なパターンを形成する方法が検討されている。この方法は、予め無機膜110とポリシリコン膜108との間に更に例えばSiO2などからなる無機系の膜を介在させておき、既述の堆積物112を形成した後、無機膜110をエッチングにより除去して、堆積物112をマスクとして上記の無機系の膜のエッチングを行ってパターンを形成し、次いで堆積物112を除去してパターンが形成された無機系の膜に対して再度トリミングと堆積物の形成とを繰り返すことによって当該無機系の膜の下層のポリシリコン膜108に微細なパターン(レジストマスクの線幅の1/4のパターン)を形成する方法である。しかし、このようにダブルパターニングを2回繰り返す場合には、1度目のトリミングに極めて高い精度が必要となるし、また上記のように堆積物112の形状が下層側のパターンの形状に大きな影響を及ぼすことからも、このような方法による微細なパターンの形成は困難である。
尚、特許文献1にはこのダブルパターニングを利用した半導体装置の製造方法について記載されているが、このような問題を解決できるものではない。
また、レジスト膜のレジストパターンに沿ってレジスト膜の下層の犠牲膜にパターンを形成した後、レジスト膜を除去し、さらに前記パターンとずれるように新たなレジストパターンを備えたレジスト膜を形成し、そのレジストパターンに沿ってさらに前記犠牲膜にパターンを形成することで犠牲膜の下層の被エッチング膜に密なパターンを形成することも知られているが、そのようにパターンの形成を行うためには基板の位置合わせが難しいという問題がある。
特開2006−261307(図3〜図5)
本発明は、このような事情に基づいてなされたものであり、基板上の膜にプラズマエッチングにより平行なライン状のパターンを形成するパターン形成方法において、前記パターンの微細化を図ることができるパターン形成方法、半導体製造装置及び記憶媒体を提供することである。
本発明のパターン形成方法は、
基板上の膜にプラズマエッチングにより多数の平行なラインからなるパターンを形成するパターン形成方法において、
下段側から被エッチング膜及び犠牲膜が積層された基板を用い、
前記犠牲膜の上に多数のラインからなる第1のマスクパターンを前記ラインの幅と前記ラインの間隔寸法との比が3:5となるように形成する工程、
次いで、前記第1のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記犠牲膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第1のマスクパターンのラインの幅の1/3の幅となる前記薄膜からなる末広がりの堆積物を形成する工程と、
その後、前記ラインを除去して前記堆積物を残し、当該堆積物をマスクとして前記犠牲膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該犠牲膜に多数のラインからなる第2のマスクパターンを形成する工程と、
次に、前記第2のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記被エッチング膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第2のマスクパターンのラインの幅と同じ幅となる前記薄膜からなる末広がりの堆積物を形成する工程と、
その後、前記第2のマスクパターンにおけるラインを除去して前記薄膜を残し、当該堆積物をマスクとして前記被エッチング膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該被エッチング膜に多数のラインからなるパターンを形成する工程と、を含むことを特徴とする。
前記第1のマスクパターンは有機物を含むフォトレジストマスクにより形成され、前記犠牲膜は有機物を含む反射防止膜であることが好ましい。
本発明の半導体製造装置は、
基板を収納したキャリアが載置され、このキャリア内の基板のロード、アンロードが行われるローダモジュールと、
このローダモジュールを介して基板が搬入される真空搬送室モジュールと、
前記真空搬送室モジュールを介して搬入される基板に成膜処理を行う成膜モジュールと、
前記真空搬送室モジュールを介して搬入される基板にエッチング処理を行うエッチングモジュールと、
前記搬送室、ローダモジュール、成膜モジュール及びエッチングモジュール間で基板を搬送する基板搬送手段と、
上記パターン形成方法を実施するように前記基板搬送手段の動作を制御する制御手段と、を備えたことを特徴とする。
本発明の記憶媒体は、
コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、
前記コンピュータプログラムは、上記パターン形成方法を実施するようにステップ群が組まれていることを特徴とする。
本発明によれば、多数のラインとラインの間隔寸法との比がほぼ1:1となるパターン、即ちいわゆる1:1のラインアンドスペースのパターンを形成するにあたり、被エッチング膜及び犠牲膜が下からこの順に積層された基板を用い、パターンのダブル化を2回行っている。この時犠牲膜上にラインの幅とラインの間隔寸法が3:5のマスクパターンを形成し、次いでラインの両側壁に、ラインの幅の1/3の幅のサイドウォール(堆積物)を形成している。そのため、このサイドウォールのパターンを犠牲膜に転写することで、その幅と間隔寸法とが1:3のラインパターンが形成される。更にこのパターンのラインの両側壁に、当該ラインの幅と同じ幅のサイドウォールを形成し、このサイドウォールを被エッチング膜に転写することで、前記マスクパターンのラインの4倍(2倍×2倍)数のパターンを形成することができる。従って、広い線幅のラインアンドスペースのパターンから狭い線幅の1:1のラインアンドスペースのパターンを得ることができるので、本発明は半導体装置のパターンの微細化に有効な技術である。
(第1の実施の形態:パターンの4倍化)
本発明の半導体装置の製造方法の第1の実施の形態が適用される基板である半導体ウェハ(以下「ウェハ」という)Wについて図1(a)を用いて説明する。ウエハWの表面には上段側から例えばシリコンを含む有機系の膜であるフォトレジストマスク24、例えばシリコンを含む有機系の犠牲膜である反射防止膜(BARC)23、被エッチング膜である窒化シリコン膜(以下「SiN膜」という)22、SiO2膜(シリコン酸化膜)21がこの順に積層されており、フォトレジストマスク24には例えば背景技術の欄で説明したように、例えば光源としてArFエキシマレーザを用いたフォトリソグラフィにより多数のライン26からなる第1のマスクパターン25が形成されている。尚、互いに隣接するライン26、26間のスペース部分を溝27と呼ぶこととする。図1ではその断面のみを示しているが、このライン26と溝27とは紙面の表裏方向に長く伸びるように平行に形成されており、また第1のマスクパターン25の底部には反射防止膜23が露出している。
また、第1のマスクパターン25は、例えばライン26の幅M1と溝27の開口幅M2とが夫々例えば60nm、100nmとなるように形成されている。従って、幅M1と開口幅M2との比は3:5となっている。H1、H2、H3で示すSiN膜22、反射防止膜23、フォトレジストマスク24の膜厚は夫々例えば27nm、27nm、27nmである。
このウェハWに対して、先ず処理ガスとして例えばSiH4(モノシラン)ガスを供給すると共に、ウエハWを300℃以下の温度例えば100℃に加熱して熱CVDによる成膜処理を行う。この成膜処理により、初めは第1のマスクパターン25の形状に沿ってウェハWの表面を覆うようにアモルファスシリコン膜31が成膜されていくので、図2(a)に示すように、ライン26の側壁付近では下側に向かうにつれて広がるようになだらかにアモルファスシリコン膜31が成膜される。そして、その後も成膜を続けていくと、上記のライン26の側壁付近における傾斜部分を含むウェハWの表面を更に覆うようにアモルファスシリコン膜31が成膜され、ライン26の側壁においてもウェハWの表面と同じ厚さでアモルファスシリコン膜31が成膜されていくので、同図(b)に示すように、見かけ上のライン26の幅が大きくなっていき、溝27に対応するアモルファスシリコン膜31の凹部32の開口幅M3が徐々に狭くなっていく。そこで、図1(b)に示すように、アモルファスシリコン膜31の凹部32の開口幅M3とアモルファスシリコン膜31の傾斜部分(肩部分)の寸法M4との比が3:1となる膜厚になるまで、つまり開口幅M3とアモルファスシリコン膜31の膜厚との比が3:1となるまで当該アモルファスシリコン膜31の成膜を行う。成膜後のアモルファスシリコン膜31の膜厚としては、例えば20nmとなる。
続いて、ウエハWに処理ガスとして例えばO2(酸素)ガスとHBr(臭化水素)ガスとを供給し、これらの処理ガスをプラズマ化して、アモルファスシリコン膜31を下方に向けて異方性エッチングする。このエッチングをフォトレジストマスク24の表層が露出するまで続けると、図1(c)に示すように、ライン26の両側壁には、当該側壁からなだらかに下側へ向かって広がるように、アモルファスシリコン膜31が堆積物(サイドウォール)33a、33bの組33として残る。また、このエッチングにより、隣り合う組33、33の間に溝27の底面(反射防止膜23の表面)が露出する。この時アモルファスシリコン膜31が異方性エッチングにより均一に下方側に向かってエッチングされていくので、この堆積物33a(33b)の幅M6は、既述のアモルファスシリコン膜31の傾斜部分の寸法M4とほぼ等しくなる。従って、組33、33の間に露出した反射防止膜23の開口幅M5についても既述の幅M3とほぼ等しくなり、開口幅M5と堆積物33a(33b)の幅M6との比が3:1となる。
次いで、処理ガスとして例えばO2ガス及びAr(アルゴン)ガスをウエハWに供給し、これらの処理ガスをプラズマ化してフォトレジストマスク24をエッチングして除去する。反射防止膜23は、既述のようにフォトレジストマスク24と組成が似通っているので、フォトレジストマスク24と共に堆積物33a、33bをマスクとして除去されていく(図1(d))。そして、図3(a)に示すように、堆積物33a、33b間の反射防止膜23が除去されてSiN膜22が露出するまでエッチングを続ける。
その後、処理ガスとして例えばO2ガスとHBrガスとをウエハWに供給し、これら処理ガスをプラズマ化してアモルファスシリコン膜31からなる堆積物33a,33bをエッチングして除去する(図3(b))。このエッチングにより、堆積物33a,33bにより形成されたパターンが反射防止膜23に転写されて、ライン状の反射防止膜23がSiN膜22上に第2のマスクパターンとして残る。以上のダブルパターン形成工程により、このSiN膜22に形成されたパターンの数が既述の図1(a)に示すフォトレジストマスクに形成されていた第1のマスクパターン25のパターン(ライン26及び溝27)の数の2倍になる。
続いて、上記のパターンの数を2倍化するダブルパターン形成工程を再度行う。先ず、ウエハWに処理ガスとして例えばSiH4ガスを供給すると共に、ウエハWを300℃以下の温度例えば100℃に加熱して熱CVDなどによる成膜処理を行う。この成膜処理により、SiN膜22の表面及び反射防止膜23の露出面がアモルファスシリコン膜35により被覆されていき、既述の例(図1(b))と同様に成膜処理を続けていくと、アモルファスシリコン膜35の膜厚が厚くなるにつれてライン状の反射防止膜23の幅が大きくなっていく。そこで、図3(c)に示すように、このアモルファスシリコン膜35の凹部36の開口幅M7とアモルファスシリコン膜35の傾斜部分(肩部分)の寸法M8との比が1:1となる膜厚まで(開口幅M7とアモルファスシリコン膜35の膜厚とが等しくなるまで)アモルファスシリコン膜35の成膜を行う。成膜後のアモルファスシリコン膜35の膜厚としては、例えば20nmとなる。
しかる後、処理ガスとして例えばO2ガスとHBrガスとをウエハWに供給し、これらの処理ガスをプラズマ化してアモルファスシリコン膜35を下方に向けて異方性エッチングする。このエッチングをライン状の反射防止膜23の表層が露出するまで続けると、当該反射防止膜23の両側壁には、アモルファスシリコン膜35からなる堆積物37a、37bの組37が形成される。また、この組37、37の間には、SiN膜22が露出する。この堆積物37a、37bの幅M10は、既述のように、この異方性エッチングにより上記の寸法M8とほぼ等しくなる。また、組37、37の間の寸法M9についても、凹部36の幅M7とほぼ等しくなるので、寸法M9と幅M10との比が1:1となる。
そして、処理ガスとして例えばO2ガス及びArガスをウエハWに供給し、これらの処理ガスをプラズマ化して、反射防止膜23をエッチングにより除去して堆積物37a、37bの間のSiN膜22を露出させる(図3(e))。このエッチングにより、堆積物37a(37b)の幅M12と、堆積物37a、37b間の幅M11と、は夫々20nmとなり、従って両者の比がほぼ1:1となる。
その後、処理ガスとして例えばCF4ガス、CHF3ガス、Arガス、O2ガス、CH22ガス及びF2ガスをウエハWに供給する。そして、これらの処理ガスをプラズマ化し、アモルファスシリコン膜35の堆積物37a、37bをマスクとしてSiO2膜21が露出するまでSiN膜22を下方に向けて異方性エッチングする。このエッチングにより、堆積物37a、37bのパターンがSiN膜22に転写され、図4(a)に示すように、ライン28と溝29とからなるパターン30がSiN膜22に形成される。既述のように、堆積物37a(37b)の幅M12と、堆積物37a、37b間の幅M11と、の比がほぼ1:1となっているので、これらの寸法がパターン30に転写され、ライン28の幅M14と溝29の開口幅M13とが夫々20nmとなり、従って両者の比もほぼ1:1となる。以上の2回のダブルパターン形成工程により、パターン30に形成されるライン28及び溝29の数は、第1のマスクパターン25のライン26及び溝27の数の4倍となる。
そして、処理ガスとして例えばO2ガスとHBrガスとをウエハWに供給し、これらの処理ガスをプラズマ化して、前記堆積物37a,37bをエッチングして除去する(図4(b))。
この第1の実施の形態によれば、多数のライン28の幅M14と溝29の開口幅M13との比がほぼ1:1となるパターン30をSiN膜22に形成するにあたり、既述の多層構造のウェハWに対してパターンのダブル化(ダブルパターン形成工程)を2回行っている。この時ライン26の幅M1と溝27の開口幅M2との比が3:5となるようにフォトレジストマスク24の第1のマスクパターン25を形成すると共に、開口幅M5と堆積物33a(33b)の幅M6との比が3:1となるようにアモルファスシリコン膜31を成膜している。そのため、この堆積物33a(33b)のパターンを反射防止膜23に転写することでその幅と間隔寸法とが1:3のラインパターンが形成される。更にこのパターンの両側壁に、当該ラインの幅と同じ幅のサイドウォール(堆積物37a、37b)を形成し、このサイドウォールをSiN膜22に転写することで、前記マスクパターン25のライン26の4倍(2倍×2倍)数のパターン30を形成することができる。従って、広い線幅のマスクパターン25から、狭い線幅の1:1のパターン30を得ることができる。
この結果、露光装置の光源の波長では形成困難な微細な線幅であっても、即ち露光装置の線幅の限界よりも小さい線幅でパターン30を形成でき、半導体装置のパターン30の微細化に寄与することができる。また、例えばKrFのエキシマレーザーなど、波長の長い露光装置を用いながら、微細なパターン30を作り出せるので、製造コストの低廉化も図ることができる。
また、フォトリソグラフィによりフォトレジストマスク24に第1のマスクパターン25を形成するにあたって、上記のように幅M1と開口幅M2との比が3:5といった1:1に近い値となるので、後述するように、パターン30を1回のダブルパターン形成工程により形成する場合(幅M1と開口幅M2との比が1:3)よりも第1のマスクパターン25を容易に作製できる。更に、背景の項にて説明したトリミング工程(シュリンクプロセス)が不要になるので、パターン30の寸法を精度高く設定することができる。また、このトリミング工程が不要になることから、ウェハWの表層にフォトレジストマスク24や反射防止膜23といった有機系の膜が形成された従来の積層構造のウェハWに対して上記の方法を適用できる。
また、既述のように、アモルファスシリコン膜31(35)を熱CVDにより成膜するにあたり、300℃以下の低温例えば100℃にて行うようにしているので、上記の有機系の膜に対してこのアモルファスシリコン膜31(35)を成膜できる。尚、このように低温でアモルファスシリコン膜31(35)を成膜する手法としては、既述の熱CVD以外にも、例えばバッチ式の縦型熱処理装置において、処理ガスをプラズマ化したプラズマを用いて行うようにしても良い。
尚、第1のマスクパターン25の前記M1とM2との比は、既述のように3:5になるように設計されるが、加工誤差を考慮して、デバイスの製造において影響を与えないように例えば3:4.75〜5.25(±5%)であれば良い。同様に、アモルファスシリコン膜31、35の膜厚についても、開口幅M5と幅M6との比、寸法M9と幅M10との比の夫々が上記の誤差範囲(±5%)内に収まれば良い。以下の実施の形態においても、夫々のマスクパターンの寸法に応じて、同様の加工誤差内に収まるようにマスクパターン寸法やアモルファスシリコン膜の膜厚が設定される。また、上記のSiN膜22を被エッチング膜として説明したが、このSiN膜22に形成されたパターン30をマスクとしてその下層膜であるSiO2膜21にパターン30を転写するようにしても良い。
(第1の実施の形態の変形例1:反射防止膜の除去)
上記の実施の形態では、1回目のダブルパターン形成工程(図3(a))において、堆積物33a(33b)を反射防止膜23上に形成するようにしたが、この反射防止膜23が有機系の膜であるため、当該反射防止膜23の強度の不足により堆積物33a(33b)の倒れが生じる場合などには、例えば以下のようにしても良い。
図5(a)に示すように、既述の図1(a)に示す反射防止膜23とSiN膜22との間に膜厚が例えば27nmのSiO2膜38が介在するように、ウェハW上に各膜21〜24、38を積層する。そして、処理ガスとして例えばO2ガス及びArガスをウエハWに供給し、これらの処理ガスをプラズマ化してフォトレジストマスク24をマスクとして反射防止膜23をエッチングして除去する。このプラズマにより、図5(b)に示すように、フォトレジストマスク24もエッチングされていくので、反射防止膜23の上面はフォトレジストマスク24が僅かに残って覆われるか、あるいは当該反射防止膜23が露出する。そして、このエッチングによりSiO2膜38が露出したウェハWに対して、既述の例と同様に図5(c)〜図6(a)のダブルパターン形成工程を行う。この時、アモルファスシリコン膜31の膜厚(堆積物33a(33b)の幅M3、M4については上記の実施の形態と同じ寸法に設定される。この堆積物33a、33bは、既述のように、SiO2膜38上に形成される。尚、エッチング処理や成膜処理については、上記の実施の形態と同じ工程となるため省略する。
そして、ウエハWに処理ガスとして例えばCF4ガス、CHF3ガス、Arガス、O2ガス、CH22ガス及びF2ガスを供給し、これらの処理ガスをプラズマ化して、SiN膜22が露出するまでSiO2膜38を下方に向けて異方性エッチングする(図6(b))。
次いで、堆積物33a、33bを除去して(図6(c))、図6(d)〜図7(d)のダブルパターン形成工程を行う。SiO2膜38をエッチングするとき(図7(b))には、上記のように、処理ガスとして例えばCF4ガス、CHF3ガス、Arガス、O2ガス、CH22ガス及びF2ガスが用いられる。この時も、アモルファスシリコン膜35の膜厚(堆積物37a(37b)の幅M7、M8)については上記の実施の形態と同じ寸法に設定される。以上の工程により、上記の実施の形態と同様の寸法(M13、M14)のパターン30が形成される。
この実施の形態によれば、上記の実施の形態と同様の効果が得られる。また、この例では、1回目のダブルパターン形成工程において、既述の反射防止膜23よりも強度の強いSiO2膜38上に堆積物33a(33b)を形成しているので、堆積物33a(33b)が当該SiO2膜38を介してウェハWに強固に固定され、従って堆積物33a、33b間の寸法誤差を極めて少なくすることができ、パターン30の寸法の精度を高めることができる。
(第1の実施の形態の変形例2:無機膜積層構造)
上記の例においては、ウェハWの表層側の膜としてフォトレジストマスク24と反射防止膜23とを用いたが、図8に示すように、これらの各膜24、23に替えて、無機物からなる膜である例えばSiN膜40とSiO2膜39とを用いても良い。この例においても上記の変形例と同様のパターン30が形成され、同様の効果が得られる。また、アモルファスシリコン膜31、35を成膜する時には、成膜温度を例えば200℃程度に高くすることによって、より緻密で形状精度の高い堆積物33a(33b)、37a(37b)を形成できる。
(第2の実施の形態:パターンの8倍化)
上記の変形例2においてSiN膜40に第1のマスクパターン25を形成するにあたって、この第1のマスクパターン25の幅M1、M2と同じパターンのレジスト膜をSiN膜40の表層に形成し、このレジスト膜のパターンをSiN膜40にエッチングにより転写するようにしても良いが、以下のようにSiN膜40に第1のマスクパターン25を形成するようにしても良い。
図9(a)に示すように、SiN膜40、SiO2膜39、SiN膜22、SiO2膜21が上側からこの順に積層されたウェハWの表面に、4層目の膜であるレジストマスク41を形成する。また、このレジストマスク41に対して、例えばフォトリソグラフィによりライン42と溝43とからなる第3のマスクパターン44を形成する。この例では、第3のマスクパターン44は、ライン42の幅N1と溝43の開口幅N2とが夫々例えば100nm、220nmとなるように形成されている。従って、幅N1と開口幅N2との比は5:11となっている。尚、レジストマスク41の膜厚は27nmである。
先ず、ウエハWに処理ガスとして例えばSiH4ガスを供給すると共に、ウエハWを300℃以下の温度例えば100℃に加熱して熱CVDによる成膜処理を行い、アモルファスシリコン膜45を成膜する。この時、アモルファスシリコン膜45の凹部46の開口幅N3とアモルファスシリコン膜45の傾斜部分(肩部分)の寸法(アモルファスシリコン膜45の膜厚)N4との比が5:3となるまで当該アモルファスシリコン膜45の成膜を行う。成膜後のアモルファスシリコン膜45の膜厚としては、例えば60nmとなる(図9(b))。
続いて、ウエハWに処理ガスとして例えばO2ガスとHBrガスとを供給し、これらの処理ガスをプラズマ化して、フォトレジストマスク24の表面が露出するまでアモルファスシリコン膜45を下方に向けて異方性エッチングする。このエッチングにより、図9(c)に示すように、ライン42の両側壁にアモルファスシリコン膜45からなる堆積物47a、47bの組47が形成され、この組47、47間には下層のSiN膜40が露出する。また、この堆積物47a(47b)の幅N6、組47、47間におけるSiN膜40の開口幅N5が夫々寸法N4及び幅N3とほぼ等しくなり、開口幅N5と堆積物47a(47b)の幅N6との比が5:3となる。
次いで、処理ガスとして例えばO2ガス及びArガスをウエハWに供給し、これらの処理ガスをプラズマ化してレジストマスク41をエッチングして除去する(図10(a))。このエッチングにより、堆積物47a、47b間におけるSiN膜40が露出する。
そして、処理ガスとして例えばCF4ガス、CHF3ガス、Arガス、O2ガス、CH22ガス及びF2ガスを用いて、これらの処理ガスをプラズマ化して、堆積物47a、47bをマスクとしてSiO2膜39が露出するまでSiN膜40をエッチングする(図10(b))。
その後、処理ガスとして例えばO2ガスとHBrガスとをウエハWに供給し、これら処理ガスをプラズマ化してアモルファスシリコン膜45からなる堆積物47a,47bをエッチングして除去する(図10(c))。
以上のダブルパターン形成工程により、SiN膜40には既述の図8に示すライン26と溝27とからなる第1のマスクパターン25が形成され、このライン26の幅M1と溝27の開口幅M2とが夫々例えば60nm、100nmとなるので、幅M1と開口幅M2との比は3:5となる。また、この第1のマスクパターン25に形成されたパターンの数が第3のマスクパターン44に形成されたパターン(ライン42及び溝43)の数の2倍になる。
その後、このウェハWに対して既述のようにダブルパターン形成工程を2回繰り返して行うことにより、SiN膜22にパターン30が形成される。従って、パターン30に形成されるライン28及び溝29の数は、第3のマスクパターン44のライン42及び溝43の数の8倍となる。
この第2の実施の形態によれば、既述の多層構造のウェハWに対して1:1のパターン30を形成するにあたり、ライン42の幅N1と溝43の開口幅N2との比が5:11となるようにレジストマスク41の第3のマスクパターン44を形成すると共に、開口幅N5と堆積物47a(47b)の幅N6との比が5:3となるようにアモルファスシリコン膜45を成膜している。従って、このウェハWに対してダブルパターン形成工程を3回行うことにより、パターン30におけるライン28及び溝29の数を第3のマスクパターン44のライン42及び溝43の数の8倍に増やすことができ、そのため極めて微細な寸法のパターン30を形成することができる。
尚、既述の図1(a)に示すウェハWに対してこのようなダブルパターン形成工程を3回行う場合には、上記のレジストマスク41としては、例えばSiN膜などの無機膜を用いて、この無機膜の上層に第3のマスクパターン44がパターニングされたフォトレジストマスクを形成し、このフォトレジストマスクを介して第3のマスクパターン44を無機膜に転写するようにしても良い。また、既述の変形例1において説明したように、反射防止膜23を除去するようにしても良い。
(第3の実施の形態:パターンの16倍化)
上記の第2の実施の形態においてレジストマスク41に第3のマスクパターン44を形成するにあたって、既述のように、このレジストマスク41に直接フォトリソグラフィによりパターンを形成しても良いが、以下のようにしても良い。
図11(a)に示すように、レジストマスク41、SiN膜40、SiO2膜39、SiN膜22、SiO2膜21が上側からこの順に積層されたウェハWの表面に、例えばSiNからなる無機系の5層目の膜であるレジストマスク51を形成する。また、このレジストマスク51に対して、ライン52と溝53とからなる第4のマスクパターン54を形成する。この例では、第4のマスクパターン54は、ライン52の幅P1と溝53の開口幅P2とが夫々例えば220nm、420nmとなるように形成されている。従って、幅N1と開口幅N2との比は11:21となっている。尚、レジストマスク51の膜厚は27nmである。このレジストマスク51は、例えば当該レジストマスク51の表面に形成された図示しないフォトレジストマスクを介して、フォトリソグラフィにより第4のマスクパターン54が形成される。
先ず、ウエハWに処理ガスとして例えばSiH4ガスを供給すると共に、ウエハWを300℃以下の温度例えば100℃に加熱して熱CVDによる成膜処理を行い、アモルファスシリコン膜55を成膜する。この時、既述のようにアモルファスシリコン膜55の膜厚を調整することで、アモルファスシリコン膜55の凹部56の開口幅P3とアモルファスシリコン膜55の傾斜部分(肩部分)の寸法P4との比が11:5となるまで当該アモルファスシリコン膜55の成膜を行う。成膜後のアモルファスシリコン膜55の膜厚としては、例えば100nmとなる(図11(b))。
続いて、ウエハWに処理ガスとして例えばO2ガスとHBrガスとを供給し、これらの処理ガスをプラズマ化して、レジストマスク51の表面が露出するまでアモルファスシリコン膜55を下方に向けて異方性エッチングする。このエッチングにより、図11(c)に示すように、ライン52の両側壁に堆積物57a、57bの組57が形成され、この組57、57間には下層のレジストマスク41が露出する。また、この堆積物57a(57b)の幅P6、組57間におけるレジストマスク41の開口幅P5が夫々寸法P4及び幅P3とほぼ等しくなり、開口幅P5と堆積物57a(57b)の幅P6との比が11:5となる。
その後、処理ガスとして例えばCF4ガス、CHF3ガス、Arガス、O2ガス、CH22ガス及びF2ガスをウエハWに供給する。そして、これらの処理ガスをプラズマ化し、レジストマスク51をエッチングにより除去する(図12(a))。
次いで、処理ガスとして例えばO2ガス及びArガスをウエハWに供給し、これらの処理ガスをプラズマ化して堆積物57a、57bをマスクとしてレジストマスク41をエッチングして除去する(図12(b))。このエッチングにより、堆積物57a、57b間におけるSiN膜40が露出する。
その後、処理ガスとして例えばO2ガスとHBrガスとをウエハWに供給し、これら処理ガスをプラズマ化してアモルファスシリコン膜55からなる堆積物57a,57bをエッチングして除去する(図12(c))。
以上のダブルパターン形成工程により、レジストマスク41には既述の図9に示すライン42と溝43とからなる第3のマスクパターン44が形成され、このライン42の幅N1と溝43の開口幅N2とが夫々例えば100nm、220nmとなるので、幅N1と開口幅N2との比は5:11となる。また、この第3のマスクパターン44のパターンの数がレジストマスク51に形成されたパターンの数の2倍になる。
その後、このウェハWに対して既述のようにダブルパターン形成工程を3回繰り返して行うことにより、SiN膜22にパターン30が形成される。パターン30に形成されるライン28及び溝29の数は、第4のマスクパターン54のライン52及び溝53の数の16倍となる。
この第3の実施の形態によれば、既述の多層構造のウェハWに対してパターン30を形成するにあたり、ライン52の幅P1と溝53の開口幅P2との比が11:21となるようにレジストマスク51の第4のマスクパターン54を形成すると共に、開口幅P5と堆積物57a(57b)の幅P6との比が11:5となるようにアモルファスシリコン膜55を成膜している。従って、このウェハWに対してダブルパターン形成工程を4回行うことにより、パターン30におけるライン28及び溝29の数を第4のマスクパターン54のライン52及び溝53の数の16倍に増やすことができ、そのため極めて微細な寸法のパターン30を形成することができる。
尚、既述の第1の実施の形態の変形例1、2において説明したように、レジストマスク41を無機膜により形成するようにしても良い。
(第4の実施の形態:パターンの2倍化)
上記の各例にて説明したように、ウェハWの積層膜数を増やすと共にダブルパターン形成工程を2回、3回、4回行うことにより、パターン30の数をウェハWの表層に形成されていたパターン(25、44、54)の数の4(2)倍、8(2)倍、16(2)倍に増やすことができる。このことから、ダブルパターン形成工程を更に5回、6回、、、、、(n−1)回、n(n:5以上の正数)回行うことによって、パターン30の数をウェハWの表層のパターンの数の32(2)倍、64(2)倍、、、2n−1倍、2倍に増やすことができると考えられる。そこで、このようにダブルパターン形成工程を繰り返すにあたり、ウェハWの表層のレジストマスク60に形成する第nのマスクパターン61(25、44、54)のライン62(26、42、52)の寸法及び溝63(27、43、53)の寸法の設定方法について、図13及び図14を参照して説明する。図13には、上段側に最終的にSiN膜22に形成するパターン30を示しており、後段側に向かうつれてダブルパターン形成工程の回数を増やした場合のウェハWの表層のレジストマスク60を模式的に示している。この場合において、図示を省略しているが、ダブルパターン形成工程をn回繰り返す場合には、SiN膜22上には(n+1)層の膜が積層されている。
図13及び図14に示すように、後段側のライン62の寸法は上段側の溝63の幅と同じ寸法に設定され、後段側の溝53の幅の開口幅は(上段側のライン62の幅×2+上段側の溝62の開口幅)に設定されることが分かる。また、後段側のライン62の側壁に形成する堆積物の幅は、上段側のライン62の幅と同じ値となることが分かる。そこで、このような計算を順次行っていくことにより、ダブルパターン形成工程をn回繰り返してパターン30を2倍化する時に必要なマスクパターン61の寸法及び堆積物の寸法が算出され、従ってその算出結果に基づいて上記のダブルパターン形成を繰り返していくことにより、ウェハWの表面に形成されたパターン61の数の2倍の数のパターン30を形成することができる。尚、ウェハWに最終的にパターン30を形成する膜としては、SiN膜22以外にも、SiO膜などの無機膜であっても良い。
尚、既述の第1の実施の形態において説明したように、パターン30を4倍化するときの溝27÷ライン26の比が0.6となり、最も1.0に近づくことから(図14参照)、フォトリソグラフィによりこのマスクパターン61(24)を容易に形成できることが分かる。
この例において、既述の図13及び図14に示したように、便宜的にSiN膜22のパターン30の寸法が一定であり、ダブルパターン形成工程の回数が増える毎にウェハWの表面のマスクパターン61(25、44、54)の寸法が大きくなるように説明したが、実際にはライン62(26、42、52)の寸法と溝63(27、43、53)の寸法とを既述の比率に保ちつつ、このマスクパターン61の形成密度を同レベルにすることで、SiN膜22に極めて寸法の小さいパターン30を形成することができる。
続いて、上述の半導体装置の製造方法を実施する半導体製造装置の一例について図15を参照しながら説明する。この半導体製造装置は、第1の基板搬送手段81aを備えたローダモジュールである第1の搬送室81と、ロードロック室82,82と、第2の基板搬送手段83aを備えた真空搬送室モジュールである第2の搬送室83と、を備えている。第1の搬送室81の手前側には、内部に複数枚のウエハWが収納された密閉型のキャリアCを載置するためのロードポート85が複数箇所例えば3カ所に設けられており、またこの第1の搬送室81の側面には、ウエハWの向きや偏心の調整を行うアライメント室86が接続されている。
第2の搬送室83には、熱CVDによる成膜処理を行う成膜モジュール87,87と、プラズマエッチング処理を行うエッチングモジュール88,88と、が気密に接続されている。この成膜モジュール87は、内部にウェハWを載置する載置台と、このウェハWを例えば300℃以下に加熱する加熱する手段、成膜モジュール87内に既述のアモルファスシリコン膜を成膜するための処理ガス例えばSiH4ガスを供給する手段及び真空排気手段(いずれも図示せず)を備えている。また、エッチングモジュール88は、平行平板型のプラズマエッチング装置であり、ウエハWを載置する載置台及びその載置台の上方に対向するように設けられたガスシャワーヘッドを兼用する上部電極、このガスシャワーヘッドを介してウェハWに既述のエッチング用の処理ガスを供給する手段、真空排気手段及び処理ガスをプラズマ化する手段(いずれも図示せず)を備え、エッチングモジュール88内にガスシャワーヘッドから処理ガスを供給し、載置台と上部電極との間に高周波を印加して処理ガスをプラズマ化することによって、既述のプラズマエッチング処理が行われるように構成されている。図中Gはゲートバルブ(仕切り弁)、GTはゲートドアである。
この半導体製造装置には、例えばコンピュータからなる制御手段である制御部80Aが設けられている。この制御部80Aは図示しないプログラム、CPU及びメモリを備えており、前記プログラムには制御部80Aから半導体製造装置の各部に制御信号を送り、ウエハの搬送及び処理を進行させるように命令(各ステップ)が組み込まれている。また、例えばメモリには各モジュールの処理圧力、処理温度、処理時間、ガス流量または電力値などの処理パラメータの値が書き込まれる領域を備えており、CPUがプログラムの各命令を実行する際これらの処理パラメータが読み出され、そのパラメータ値に応じた制御信号がこの半導体製造装置80の各部に送られることになる。このプログラム(処理パラメータの入力操作や表示に関するプログラムも含む)は、コンピュータ記憶媒体例えばフレキシブルディスク、コンパクトディスク、ハードディスク、MO(光磁気ディスク)などからなる記憶部80Bに格納されて制御部80Aにインストールされる。
次に、この半導体製造装置におけるウェハWの流れについて簡単に説明する。先ず、キャリアCをロードポート85に載置して、キャリアC内のウエハWを第1の基板搬送手段81aにより第1の搬送室81を介してロードロック室82に搬送する。そして、第2の基板搬送手段83aによりロードロック室82を介してウエハWを第2の搬送室83内に搬入する。そして、この第2の搬送室83を介して成膜モジュール87及びエッチングモジュール88に上記の処理の流れに合わせて順次ウェハWを搬送し、成膜モジュール87においては上述の実施形態の各アモルファスシリコン膜の成膜処理を行い、エッチングモジュール88においては各エッチング処理を行う。各処理終了後、ウエハWは搬入された順序と逆の順序でキャリアCに戻される。
本発明の第1の実施形態における半導体装置の製造工程の一例を示した工程図である。 上記の製造工程を示した工程図である。 上記の製造工程を示した工程図である。 上記の製造工程を示した工程図である。 上記の実施形態の変形例における半導体装置の製造工程の一例を示した工程図である。 上記の製造工程を示した工程図である。 上記の製造工程を示した工程図である。 上記の実施形態の変形例における半導体装置の一例を示した工程図である。 本発明の第2の実施形態における半導体装置の製造工程の一例を示した工程図である。 上記の製造工程を示した工程図である。 本発明の第3の実施形態における半導体装置の製造工程の一例を示した工程図である。 上記の製造工程を示した工程図である。 本発明の第4の実施形態における半導体装置の一例を示した模式図である。 上記の第4の実施形態におけるパターンの寸法の一例を示した模式図である。 上記半導体装置を製造するための半導体製造装置の一例を示す平面図である。 半導体装置の一例であるNAND型フラッシュメモリの膜構造を示した説明図である。 トリミングを行った後に形成されるマスクの一例である。
符号の説明
21 SiO2
22 SiN膜
23 反射防止膜
25 マスクパターン
30 パターン
31 アモルファスシリコン膜
32 凹部
33a 堆積物
33b 堆積物
35 アモルファスシリコン膜
36 凹部
37a 堆積物
37b 堆積物

Claims (4)

  1. 基板上の膜にプラズマエッチングにより多数の平行なラインからなるパターンを形成するパターン形成方法において、
    下段側から被エッチング膜及び犠牲膜が積層された基板を用い、
    前記犠牲膜の上に多数のラインからなる第1のマスクパターンを前記ラインの幅と前記ラインの間隔寸法との比が3:5となるように形成する工程と、
    次いで、前記第1のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記犠牲膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第1のマスクパターンのラインの幅の1/3の幅となる前記薄膜からなる末広がりの堆積物を形成する工程と、
    その後、前記ラインを除去して前記堆積物を残し、当該堆積物をマスクとして前記犠牲膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該犠牲膜に多数のラインからなる第2のマスクパターンを形成する工程と、
    次に、前記第2のマスクパターンの表面に薄膜を成膜した後、プラズマにより前記被エッチング膜が露出するまで当該薄膜の異方性エッチングを行って、前記ラインの両側壁に前記第2のマスクパターンのラインの幅と同じ幅となる前記薄膜からなる末広がりの堆積物を形成する工程と、
    その後、前記第2のマスクパターンにおけるラインを除去して前記薄膜を残し、当該堆積物をマスクとして前記被エッチング膜をプラズマによりエッチングし、更に当該堆積物を除去することによって、当該被エッチング膜に多数のラインからなるパターンを形成する工程と、を含むことを特徴とするパターン形成方法。
  2. 前記第1のマスクパターンは有機物を含むフォトレジストマスクにより形成され、前記犠牲膜は有機物を含む反射防止膜であることを特徴とする請求項1に記載のパターン形成方法。
  3. 基板を収納したキャリアが載置され、このキャリア内の基板のロード、アンロードが行われるローダモジュールと、
    このローダモジュールを介して基板が搬入される真空搬送室モジュールと、
    前記真空搬送室モジュールを介して搬入される基板に成膜処理を行う成膜モジュールと、
    前記真空搬送室モジュールを介して搬入される基板にエッチング処理を行うエッチングモジュールと、
    前記搬送室、ローダモジュール、成膜モジュール及びエッチングモジュール間で基板を搬送する基板搬送手段と、
    請求項1または2に記載のパターン形成方法を実施するように前記基板搬送手段の動作を制御する制御手段と、を備えたことを特徴とする半導体製造装置。
  4. コンピュータ上で動作するコンピュータプログラムを格納した記憶媒体であって、
    前記コンピュータプログラムは、請求項1または2に記載のパターン形成方法を実施するようにステップ群が組まれていることを特徴とする記憶媒体。
JP2008035161A 2008-02-15 2008-02-15 パターン形成方法、半導体製造装置及び記憶媒体 Pending JP2009194248A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008035161A JP2009194248A (ja) 2008-02-15 2008-02-15 パターン形成方法、半導体製造装置及び記憶媒体
PCT/JP2009/051802 WO2009101878A1 (ja) 2008-02-15 2009-02-03 パターン形成方法、半導体製造装置及び記憶媒体
TW98104711A TW201001493A (en) 2008-02-15 2009-02-13 Pattern forming method, semiconductor manufacturing apparatus and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008035161A JP2009194248A (ja) 2008-02-15 2008-02-15 パターン形成方法、半導体製造装置及び記憶媒体

Publications (1)

Publication Number Publication Date
JP2009194248A true JP2009194248A (ja) 2009-08-27

Family

ID=40956907

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008035161A Pending JP2009194248A (ja) 2008-02-15 2008-02-15 パターン形成方法、半導体製造装置及び記憶媒体

Country Status (3)

Country Link
JP (1) JP2009194248A (ja)
TW (1) TW201001493A (ja)
WO (1) WO2009101878A1 (ja)

Cited By (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009218556A (ja) * 2008-03-12 2009-09-24 Taiwan Semiconductor Manufacturing Co Ltd リソグラフィパターンの形成方法
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US8766354B2 (en) 2010-07-29 2014-07-01 Samsung Electronics Co., Ltd. Semiconductor devices including vertical channel transistors and methods of manufacturing the same
US8795953B2 (en) 2010-09-14 2014-08-05 Nikon Corporation Pattern forming method and method for producing device
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8741696B2 (en) 2009-10-26 2014-06-03 Sandisk 3D Llc Methods of forming pillars for memory cells using sequential sidewall patterning
JP6366454B2 (ja) 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130631A (ja) * 1993-11-05 1995-05-19 Sanyo Electric Co Ltd パターン形成方法及びそれを利用した半導体記憶装置の製造方法
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JP2000011858A (ja) * 1998-06-22 2000-01-14 Yamaha Corp 電界放射型素子の製造方法
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
JP4619839B2 (ja) * 2005-03-16 2011-01-26 株式会社東芝 パターン形成方法
JP4247198B2 (ja) * 2005-03-31 2009-04-02 株式会社東芝 半導体装置の製造方法
JP2007096214A (ja) * 2005-09-30 2007-04-12 Elpida Memory Inc 半導体装置の製造方法
JP2007188925A (ja) * 2006-01-11 2007-07-26 Tokyo Electron Ltd 基板処理方法
US7959818B2 (en) * 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device

Cited By (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
JP2009218556A (ja) * 2008-03-12 2009-09-24 Taiwan Semiconductor Manufacturing Co Ltd リソグラフィパターンの形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8766354B2 (en) 2010-07-29 2014-07-01 Samsung Electronics Co., Ltd. Semiconductor devices including vertical channel transistors and methods of manufacturing the same
US8795953B2 (en) 2010-09-14 2014-08-05 Nikon Corporation Pattern forming method and method for producing device
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
TW201001493A (en) 2010-01-01
WO2009101878A1 (ja) 2009-08-20

Similar Documents

Publication Publication Date Title
JP2009194248A (ja) パターン形成方法、半導体製造装置及び記憶媒体
KR101353239B1 (ko) 피치 감소
JP5385551B2 (ja) スペーサマスクを用いた頻度の2倍化
US7575992B2 (en) Method of forming micro patterns in semiconductor devices
JP5315689B2 (ja) パターン形成方法、半導体製造装置及び記憶媒体
KR100822622B1 (ko) 반도체 소자의 미세 패턴 형성방법
JP5236996B2 (ja) 介挿領域を有するスペーサマスクを用いた頻度の3倍化
JP2004080033A (ja) シリコン酸化膜を利用した微細パターン形成方法
JP5180121B2 (ja) 基板処理方法
US20140023834A1 (en) Image transfer process employing a hard mask layer
TW202001991A (zh) 圖案化半導體裝置的方法
US20010036732A1 (en) Method of manufacturing semiconductor device having minute gate electrodes
KR20080099995A (ko) 플래시 메모리 소자의 제조 방법
JP2008258565A (ja) 半導体素子の微細パターン形成方法
KR20160008499A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
CN113614880A (zh) 多间隔图案化方案
JP2009158913A (ja) 半導体素子の微細パターン形成方法
US8551888B2 (en) Method of forming patterns for semiconductor device
JP4756063B2 (ja) 半導体装置の製造方法
WO2022100070A1 (zh) 光刻胶的处理方法及自对准双图案化方法
CN100426453C (zh) 微细图案形成方法
US10074557B2 (en) Pattern forming method
WO2010024988A2 (en) Methods of forming a photoresist-comprising pattern on a substrate
JP2008091720A (ja) 半導体装置の製造方法
CN111640657A (zh) 半导体器件及其形成方法