TW201001493A - Pattern forming method, semiconductor manufacturing apparatus and storage medium - Google Patents

Pattern forming method, semiconductor manufacturing apparatus and storage medium Download PDF

Info

Publication number
TW201001493A
TW201001493A TW98104711A TW98104711A TW201001493A TW 201001493 A TW201001493 A TW 201001493A TW 98104711 A TW98104711 A TW 98104711A TW 98104711 A TW98104711 A TW 98104711A TW 201001493 A TW201001493 A TW 201001493A
Authority
TW
Taiwan
Prior art keywords
film
pattern
width
mask
line
Prior art date
Application number
TW98104711A
Other languages
English (en)
Inventor
Akitake Tamura
Teruyuki Hayashi
Kaoru Fujihara
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201001493A publication Critical patent/TW201001493A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Description

六、 【發 有使 【先 ,在 形成 如絕 所構 而形 由經 得具 光裝 遮罩 ArF 線寬 ,開 右之 表面 依此 201001493 發明說明: 明所屬之技術領域】 本發明係關於圖案形成方法、半導^製 該半導體裝置實行該圖案方法之電腦程 前技術】 一般,在半導體裝置之製造工程中,利 屬於被處理基板之半導體晶圓(以下, 多層化之微細配線構造。在光微影中, 緣膜等之被蝕刻膜之上層,塗佈例如由 成之光阻膜,並予以曝光、顯像,將該 成具有對應於上述配線構造之圖案的遮 該遮罩蝕刻被蝕刻膜,形成配線構造。 有曝光工程所使用之曝光裝置之解析度 置之光源的例如雷射光之波長越短,圖 ,並可以形成微細之配線構成。 因此,使用具備有可以形成70nm左右 準分子雷射的曝光裝置,取代具備有以 來執行曝光之KrF準分子雷射的以往曝 發有使用被稱爲浸潤式曝光之手法,以 線寬形成圖案製作之技術,上述浸潤式 形成液膜,通過該液膜對晶圓照射ArF 藉由更短波長化之ArF準分子雷射執行 造裝置及儲存 式之記憶媒體 用光微影技術 稱爲晶圓)上 於晶圓上之例 感光性之樹脂 光阻膜圖案化 罩,接著,藉 因此,可以取 越高,即是曝 案密度越高之 之線寬圖案之 1 3 Onm左右之 光裝置。再者 4 0〜5 Onm左 曝光係在晶圓 準分子雷射, 曝光。 201001493 【發明內容】 (發明所欲解決之課題) 於是’可想日後配線之要求則更加微細化,要求以 3 Onm至20nm左右之線寬執行曝光,因此預測必需要有具 備有波長更短之光源的曝光裝置。但是,一般曝光裝置爲 高價,於每次要求之配線之線寬變細時改變曝光裝置,則 有成本增大之問題。 於是’硏究出使用被稱爲雙圖案之手法而形成配線構 造之技術。 以適用如此之雙圖案之配線構造之一例而言,針對 NAND型快閃記憶體之電路構造予以說明。第丨圖a爲表 示其電路構造之俯視圖,第1圖B爲沿著第1圖A中之 A-A線之剖面圖。在第1圖A及第1圖B中,1〇1爲藉由 蝕刻處理在晶圓1〇〇表面直線狀多數形成之字元線,從上 側觀看時被形成互相平行。字元線1 01如第1圖B所示般 ,具有疊層構造,該疊層構造係從下方依照例如氧化矽膜 105、多晶矽膜106、ΟΝΟ膜107、多晶矽膜108之順序而 疊層。 再者’在晶圓100表面,以跨過字兀線101間而與字 元線101正交之方式,配列有屬於導體之多數矽膜102。 該些矽膜1 02形成有被稱爲主動之互相並列的多數線 102Α,且在該多數線102Α流通電。然後,矽膜102之配 列方向和字元線1 〇 1之交叉部分1 〇 9係當作記憶體單元而 -6- 201001493 發揮功能,且該記憶體單元係由以兩個矽膜1 〇2、將該兩 個矽膜102架橋的氧化矽膜105及氧化矽膜上之多晶 矽膜106所構成之電晶體,和由多晶矽膜1〇6、ΟΝΟ膜 1〇7及多晶矽膜108所構成之電容器所構成。 在此,將字元線101之寬度設爲L1’將相鄰之字元 線101間之溝101Α之寬度設爲L2時,L2對L1過大時, 則有在ΟΝΟ膜107無法充分積蓄電荷之虞。在此,當L1 對L2過大時,則在字元線1 〇 1間,一個氧化矽膜1 0 6和 其相鄰之氧化矽膜106之間,及一個多晶矽膜108和其相 鄰之多晶矽膜108之間的寄生電容變大。此時,在該些氧 化矽膜106、106間及多晶矽膜108、108間,積蓄電荷, 和流通電,有無法發揮作爲裝置之功能之虞。在此,必須 以大槪成爲L1 : L2 = 1 : 1之方式,形成字元線1 01和溝 101 Α。再者,當將矽膜102所產生之線102Α之寬度設爲 L3,將相鄰之上述線102A之間隔設爲L4時,爲了擔保 裝置之功能,以該些L3及L4成爲與L1及L2大略相同 大小之方式,形成線102A。 在該N AN D型快閃記憶體中,越高密度形成字元線 1 01和主動之線1 02A,越可以謀求具有記憶體單元之功能 之交叉部分1 〇 9之高積體化,依此可以謀求記憶量之增加 。於是,硏究有以各縮小LI、L2、L3、L4之方式,利用 先前所述之雙圖案製作之圖案製作方法。具體而言,在多 晶矽膜108之表面形成SiN等之無機膜,和被圖案製作在 該無機膜上之光阻遮罩。接著,藉由隔著該光阻遮罩蝕刻 201001493 無機膜,形成遮罩,接著在其遮罩之圖案之側壁兩側形成 被稱爲邊牆(sidewall )之堆積物。然後’當除去無機膜 將該堆積物當作遮罩而蝕刻多晶矽膜1 〇 8時’則在多晶砂 膜108形成被形成在無機膜之一個圖案至兩個圖案。若藉 由該手法,可以以其大略兩倍之密度在多晶矽膜1 08形成 持有光阻遮罩中之圖案之線寬的大略一半線寬之圖案。 於是,在曝光裝置中所形成之光阻遮罩通常遮罩部份 (線)之線寬和和溝之寬度大槪爲1比1。因此’因即使 在光阻遮罩之下層之無機膜,該光阻遮罩也被轉印’故遮 罩部份之線寬和溝之寬度大槪爲1比1。於是’如上述般 ,以最終形成在多晶矽膜108之圖案之寬度(LI、L2 ( L3 、L4))大槪成爲相同寬度之方式,即是由先前所述之堆 積物所構成之圖案之遮罩部份之線寬和溝寬成爲相同程度 之方式,如第2圖A所示般在無機膜110圖案製作線111 和溝之後,藉由蝕刻執行縮窄線111之寬度之被稱爲修整 或收縮的處理。 但是,於執行修整之時,難以垂直控制線1 1 1之側壁 ,如第2圖B所示般,有線1 1 1之寬度朝上端變窄之情形 。因此,如第2圖C般,配合該側壁之形狀,形成屬於邊 牆之堆積物112。當形成如此形狀之堆積物112時,當蝕 刻多晶矽膜1 〇 8之時,則有無法取得具有所欲寬度及間隔 之配線構造之虞。 再者,可想即使使用雙圖案,於使用先前所述之曝光 裝置執行光阻圖案之曝光之時’形成在多晶矽膜108之圖 -8- 201001493 案之線寬的極限也在3 Onm左右,因此若更要求配線之微 細化,例如於形成1 〇nm左右之配線時則應該無法對應。 於是硏究藉由兩次重複如此之雙圖案,形成微細圖 案之方法。該方法爲事先使無機膜11〇和多晶矽膜108之 間更存在由例如Si02等所構成之無機系之膜,於形成先 前所述之堆積物1 1 2之後,藉由蝕刻除去無機膜1 1 0,將 堆積物1 1 2當作遮罩執行上述無機系之膜之蝕刻而形成圖 案,接著除去堆積物Π2而對形成有圖案之無機系之膜再 次重複修整和堆積物之形成,依此在該無機系之膜之下層 之多晶矽膜1 〇 8形成微細之圖案(光阻遮罩之線寬之1 /4 之圖案)的方法。但是,如此一來,當兩次重複雙圖案之 時,由於第一次之修整必須要有極高之精度,再加上如上 述般堆積物1 1 2之形狀對下層側之圖案之形狀造成很大影 響,故藉由如此之方法的微細圖案之形成也困難。 並且,在專利文獻1雖然記載著利用該雙圖案之半導 體裝置之製造方法,但是並非可以解決如此問題之方法。 再者,雖然所知的也有沿著光阻膜之第1光阻圖案而 在光阻膜之下層之犧牲膜形成圖案之後,除去光阻膜’並 且形成具有與第1光阻圖案錯開之另外的第2光阻圖案之 光阻膜,藉由沿著第2光阻圖案又在犧牲膜形成圖案’在 犧牲膜之下層之被蝕刻膜形成細密之圖案’但是如此執行 圖案之形成,則有基板難以定位之問題。 [專利文獻1]日本特開2006-261307號(第3〜5圖) 本發明係鑑於如此之情形而所硏究出’對於藉由電漿 -9- 201001493 蝕刻在基板上之膜形成平行之線狀圖案之圖案形成方丨去, 提供可以使上述圖案微細化之圖案形成方法 '半導體製@ 裝置及記憶媒體。 (用以解決課題之手段) 本發明之第1態樣係提供藉由電漿蝕刻在基板上之膜 形成由多數之平行線所構成之圖案的圖案形成方法。該圖 案形成方法具有 使用由下段側疊層被蝕刻膜及犧牲膜之基板, 以上述線之寬度和上述線之間隔尺寸之比成爲3 : 5 之方式,在上述犧牲膜上形成由多數線所構成之第1遮罩 圖案之工程; 於在上述第1遮罩圖案之表面形成薄膜之後,至上述 犧牲膜藉由電漿而露出爲止進行該薄膜之各向異性蝕刻, 在上述線之兩側壁形成由將成爲上述第1遮罩圖案之線寬 之1/3寬度的上述薄膜所構成之堆積物的工程; 除去上述線而使上述堆積物殘留,將該堆積物當作遮 罩藉由電漿蝕刻上述犧牲膜,並且除去該堆積物,依此在 該犧牲膜形成由多數線所構成之第2遮罩圖案之工程; 於在上述第2遮罩圖案之表面形成薄膜之後,至上述 被蝕刻膜藉由電漿而露出爲止進行該薄膜之各向異性蝕刻 ,在上述線之兩側壁形成由將成爲與上述第2遮罩圖案之 線寬相同寬度的上述薄膜所構成之堆積物的工程;和 除去上述第2遮罩圖案中之線而使上述薄膜殘留’將 -10- 201001493 該堆積物當作遮罩而藉由電漿對上述被蝕刻膜予以蝕刻, 並且除去該堆積物,依此在該蝕刻膜形成由多數線所構成 之圖案之工程。 本發明之第2態樣係提供如第1態樣之圖案形成方法 ,其中上述第1遮罩圖案係藉由含有機物之光阻遮罩所形 成,上述犧牲膜爲含有機物的反射防止膜。 本發明之第3態樣係提供半導體製造裝置,具備··載 入模組,用以載置收納基板之載體,執行該載體內之基板 之裝載、卸載; 真空搬運室模組,經該載入模組搬入基板; 成膜模組,對經上述真空搬運室模組而被搬入之基板 執行成膜處理; 蝕刻模組,對經上述真空搬運室模組而被搬入之基板 執行蝕刻處理; 基板搬運手段’在上述搬運室、載入模組、成膜模組 及蝕刻模組間搬運基板;和 控制手段,以實施第1或第2態樣之圖案形成方法之 方式,控制上述基板搬運手段之動作。 本發明之第4態樣係提供記億媒體,屬於儲存有在電 腦上動作之電腦程式的記憶媒體, 上述電腦程式係以實施第1或第2態樣之圖案形成方 法之方式組成步驟群。 [發明效果] -11 - 201001493 若依據本發明之實施型態,對於藉由電漿蝕刻在基板 上之膜形成平行之線狀圖案之圖案形成方法,提供可以使 上述圖案微細化之圖案形成方法、半導體製造裝置及記憶 媒體。 【實施方式】 若藉由本發明之實施型態,使用針對形成多數線和線 之間隔尺寸之比幾乎成爲1 : 1之圖案,即是所謂的1 : 1 之線與間隙的圖案,從下方依照被蝕刻膜及犧牲膜之順序 疊層之基板,執行兩次圖案之雙重化。此時在犧牲膜上形 成線之寬度和線之間隔尺寸爲3 : 5之遮罩圖案,接著, 在線之兩側壁,形成有線寬之1 /3寬度的邊牆(推積物) 。因此,藉由將邊牆之圖案轉印至犧牲膜,形成其寬度和 間隔尺寸爲1 : 3之線圖案。並且,在該圖案之線之兩側 壁,形成與該線之寬度相同之寬度之邊牆,藉由將該邊牆 轉印至被蝕刻膜,可以形成上述遮罩圖案之線之4倍(2 倍x2倍)數量之圖案。因此,因可以由寬廣線寬之線和間 隔之圖案取得狹窄線寬之1 : 1之線和間隔之圖案,故本 發明之實施型態爲有效於半導體裝置之圖案之微細化的技 術。 (第1實施型態:圖案之4倍化) 針對適用藉由本發明之第1實施型態之半導體裝置之 製造方法之基板的半導體晶圓(以下稱爲「晶圓」)W, -12- 201001493 使用第3圖A予以說明。如圖示般,晶圓W具有疊層構 造,該疊層構造係由上依照含有例如矽之有機系之膜的光 阻遮罩24、例如含有矽之有機系之犧牲膜的反射防止膜( B ARC ) 23、被蝕刻膜之氮化矽膜(以下稱爲「SiN膜」) 22、氧化矽膜(以下稱爲「Si02膜」)21之順序而形成 。在光阻遮罩24如先前技術之欄中說明般,藉由使用當 作光源之ArF準分子雷射之光微影,形成由多數線26所 構成之第1遮罩圖案25。並且,將互相鄰接之線26、26 間之間隙部份稱爲溝27。在第3圖中,雖然僅表示其剖面 ,但是該線26和溝27係以延伸於與第3圖之紙面垂直之 方向之方式,形成互相平行。再者,在溝27之底部露出 有反射防止膜23。 再者,在第1遮罩圖案25中,例如線26之寬度Ml 大約爲60nm即可,溝27之開口寬M2大約爲l〇〇nm即可 。因此,寬度Ml和開口寬M2之比成爲3: 5。再者, SiN膜22之膜厚H1例如爲27nm即可,反射防止膜23之 膜厚H2例如爲27nm即可,光阻遮罩24之膜厚H3例如 爲27nm即可。
接著,對具有上述構成之晶圓W,供給作爲處理氣體 之例如SiH4 (單矽烷)氣體,並且將晶圓W加熱至30(Γ(: 以下之溫度例如100°C,執行藉由熱CVD之成膜。在該成 膜中,首先沿著第一遮罩圖案2 5之形狀形成非晶質矽膜 3 1,當持續成膜時,如第4圖 A所示般,以寬度沿著線 26之側壁朝向下方變寬之方式成膜。其結果,如第4圖B -13- 201001493 所示般,非晶質矽膜3 1具有沿著線26之表面之平坦部’ 和對應於線2 6之角的彎曲部’和沿著反射防止膜2 3之表 面的平坦部。在此’兩個平坦部中之膜厚幾乎互相相等。 再者,即使在線2 6之側壁,平坦部中之膜厚也幾乎相等 。如此之非晶質矽膜31之成膜後,如第4圖B所示般’ 外表上之線26之寬度變大,對應於溝27之非晶質膜3 1 之凹部32之開口寬M3變小。然後,如第3圖B所示般 ,非晶質矽膜3 1之凹部3 2之開口寬Μ 3,和該凹部3 2之 內壁和線2 6之側壁之間的長度Μ 4 (以下’稱爲非晶質矽 膜3 2之「側壁寬」)之比成爲3 : 1。此時,非晶質矽膜 32之厚度(自露出於溝27之底部之反射防止膜23之表面 所測量之厚度,及自線26之表面所測量之厚度)例如爲 2 0nm即可。 接著,對晶圓W供給當作處理氣體之例如〇2 (氧) 氣體和HBr (溴化氫)氣體,將該些處理氣體予以電漿化 ,將非晶質矽膜3 1朝向下方予以各向異性蝕刻。當使該 蝕刻持續至光阻遮罩24之表層露出爲止時,則如第3圖 C所示般,在一個線26之兩側壁,殘留具有朝向下端變 寬之形狀,藉由非晶質矽膜3 1所產生之一組(3 3 )之堆 積物(邊牆)33a、33b。再者,藉由該蝕刻,在相鄰之兩 組33、33之間露出溝27之底面(反射防止膜23之表面 )。此時,非晶質膜3 1藉由各向異性蝕刻均勻朝下方鈾 刻時,該堆積物3 3 a ( 3 3 b )之寬度M6則幾乎與先前所述 之非晶質矽膜3 1之側壁寬M4相等。因此,即使針對露出 201001493 於組33、33之間之反射防止膜23之寬度M5,也與先前 朔之寬度M3幾乎相等,寬度M5和堆積物33a(33b)之 寬度M6之比成爲3: 1。 接著,對晶圓W供給當作處理氣體之例如〇2氣體和 Ar (氬)氣體,將該些處理氣體予以電漿化,將光阻遮罩 24予以蝕刻。反射防止膜23之組成因如先前所述般與光 阻遮罩24相似’故以堆積物33a、33b當作遮罩與光阻遮 f 罩2 4同時被除去(第3圖D )。然後,如第5圖A所示 般,除去堆積物3 3 a、3 3 b間之反射防止膜2 3,持續鈾刻 至SiN膜22露出爲止。 之後,將當作處理氣體之例如02氣體和HBr氣體供 給至晶圓W,將該些處理氣體予以電漿化而除去自非晶質 膜31所形成之堆積物3;3a、33b (第5圖B)。藉由該倉虫 刻,由堆積物3 3 a、3 3 b所形成之圖案被轉印至反射防止 膜2 3,線狀之反射防止膜2 3以第2遮罩圖案殘留於S iN Γ 膜22上。藉由以上之雙圖案形成工程,被形成在該SiN 膜22之圖案之數量,成爲第3圖A所示之被形成於光阻 遮罩24之第1遮罩圖案25之(線26及溝27)之數量的 兩倍。換言之,在第3圖A中,雖然M1+M2之寬度具有 一個線26和一個溝27’但是在第5圖B中相同寬度具有 兩個線和兩個溝。 接著,因使形成在反射防止膜23之圖案之數量成爲 兩倍化,故再次執行雙圖案形成工程。首先,對晶圓W 供給當作處理氣體之例如S iH4氣體,並且將晶圓w加熱 -15- 201001493 至300°C以下之溫度例如i〇〇°C,執行藉由熱CVD等之成 膜。藉由該成膜’ SiN膜22之表面及反射防止膜23之露 出面被非晶質矽膜3 5覆蓋。然後,非晶質矽膜3 5如第5 圖C所示般,被執行至該非晶質矽3 5之凹部3 6之開口寬 M7 ’和非晶質矽膜35之側壁寬M8之比成爲1 : 1之膜厚 爲止(開口寬M7和非晶質矽膜35之側壁寬M8相等爲止 )。成膜後之非晶質矽膜3 5之膜厚成爲例如20nm。 然後,對晶圓W供給當作處理氣體之例如〇2氣體和 HBr氣體,將該些處理氣體予以電漿化,將非晶質矽膜35 朝向下方予以各向異性蝕刻。當將該蝕刻持續至線狀之反 射防止膜23之表層露出爲止時,則在反射防止膜23之兩 側壁,形成由非晶質矽膜35所構成之堆積物37a、37b之 組37。再者,在該組37、37之間露出SiN膜22。該堆積 物3:7a、37b之寬度M10如上述般,藉由各向異性蝕刻幾 乎與上述尺寸M8相等。再者,即使針對組3 7、3 7之間的 尺寸M9,因凹部36之寬度M7幾乎相等,故尺寸M9和 寬度M10之比成爲1: 1。 然後,將當作處理氣體之例如〇2氣體和Ar氣體供給 至晶圓W,將該些處理氣體予以電漿化而藉由鈾刻除去反 射防止膜23,使堆積物37a、37b之間的SiN膜22露出( 第5圖E)。藉由該蝕刻,堆積物37a、37b之寬度Ml 2 和堆積物37a、37b間之寬度Ml 1成爲20nm,因此兩者之 比幾乎成爲1 : 1。 之後,將當作處理氣體之例如cf4氣體、CHF3氣體 201001493 、Ar氣體、02氣體、CH2F2氣體及F2氣體中之任一者或 兩者以上之組合供給至晶圓W。然後’將該些處理氣體予 以電漿化,將非晶質矽膜35之堆積物37a、37b當作遮罩 而將SiN膜22朝向下方各向異性鈾刻至Si02膜21露出 爲止。藉由該蝕刻,堆積物37a、37b之圖案被轉印至SiN 膜22,如第6圖A所示般,在SiN膜22形成由線28和 溝29所構成之圖案30。如先前所述般,因堆積物37a( 3 7b)之寬度M12,和堆積物37a、37b之間的寬度Mil之 比幾乎成爲1 · 1,故該些尺寸被轉印至圖案3 0 ’線2 8之 寬度M14和溝29之開口寬M13各成爲20nm,因此兩者 之比幾乎成爲1 : 1。藉由以上之兩次雙圖案形成工程,被 形成於圖案30之線28及溝29之數量,成爲第1遮罩圖 案25之線26及27之數量之4倍。 然後,將當作處理氣體之例如〇2氣體和HBr氣體供 給至晶圓 W,將該些處理氣體予以電漿化而除去堆積物 37a、33b (第 6 圖 B )。 若藉由該第1實施型態時,爲了在SiN膜22形成多 數之線28之寬度M14和溝29之開口寬M13之比幾乎成 爲1: 1之圖案30,對具有先前所述之多層構造之晶圓W 執行兩次圖案之雙重化(雙圖案形成工程)。此時以線26 之寬度Ml和溝27之開口寬M2之比成爲3: 5之方式, 形成光阻遮罩24之第1遮罩圖案25,並且以開口寬M5 和堆積物33a ( 33b )之寬度M6之比成爲3 : 1之方式, 形成非晶質矽膜3 1。因此,藉由將該堆積物3 3 a ( 3 3 b ) -17- 201001493 之圖案轉印至反射防止膜2 3 ’形成其寬度和間隔尺寸爲1 :3之線圖案。並且’在該圖案之兩側壁,形成與該線之 寬度相同之寬度之邊牆(堆積物37a、37b) ’藉由將該邊 牆轉印至SiN膜22,可以形成具有第1遮罩圖案25之線 26之4倍(2倍x2倍)數量之圖案30。因此’由寬廣線 寬之遮罩圖案25可以取得狹窄線寬之1: 1之圖案30。 其結果,即使在曝光裝置之光源之波長中難以形成之 微細線寬,即是可以小於曝光裝置之線寬之界限的線寬形 成圖案30,可以有助於半導體裝置之圖案30之微細化。 再者,因一面使用例如KrF之準分子雷射等的波長較長之 曝光裝置,一面製作微細之圖案30,故亦可以謀求製造成 本之低價化。 再者,於藉由光微影在光阻遮罩24形成第1遮罩圖 案25時,因如上述般以寬度Ml和開口寬M2之比爲3 : 5 之方式,成爲接近於1:1之値,故如後述般,藉由1次 雙圖案形成工程,形成圖案3 0之時(寬度Μ1和開口寬 M2之比爲1:3),可以容易製作第1遮罩圖案25。並且 ,因不需要在先前技術之項中所說明之修整工程(收縮製 程),故可以使圖案30之尺寸高精度予以設定。再者, 由於不需要修整工程,故即使對在晶圓W表層形成光阻 遮罩24或反射防止膜23之有機系之膜的疊層構造之晶圓 W ’藉由本發明之第1實施型態的圖案形成方法也有效用 〇 再者,如先前所述般,藉由熱C V D形成非晶質5夕膜 -18- 201001493 3 1 ( 3 5 )之時,因在3 0 0 °C以下之低溫例如i 〇 〇。〇執行, 故可以在上述有機系之膜形成非晶質矽謨31 (35)。並且 ,如此一來就以低溫形成非晶矽模3 1 ( 3 5 )之方法而言, 除先前所述之熱CVD以外’即使在例如批量式之縱型熱 處理裝置中,即使使用使處理氣體電漿化之電漿而予以執 行亦可。 並且,第1遮罩圖案25之線26之寬度Ml和溝27之 寬度M2之比’雖然設計成先前所述之3: 5,但是若考慮 加工誤差,若在裝置之製造中不影響,例如爲3: 4.75〜 5.2 5 ( ± 5 % )即可。同樣,即使針對非晶質矽膜3 1、3 5之 膜厚’若將開口寬M5和M6之比、尺寸M9和寬度M10 之比各縮在上述誤差範圍(± 5 % )內即可。在以下之實施 型態中,按照各個的遮罩圖案之尺寸,以縮在相同之加工 誤差內之方式,設定遮罩圖案尺寸或非晶質矽膜之膜厚。 再者,雖然將上述SiN膜22當作被蝕刻膜予以說明,但 是即使將被形成在該SiN膜22之圖案30當作遮罩在屬於 其下層膜3丨02膜21轉印至圖案30亦可。 (第1實施型態之變形例1 :除去反射防止膜) 在上述實施型態中,於第1次之雙圖案形成工程(第 5圖 A)中,雖然在反射防止膜23上形成堆積物33a( 33b),但是因該反射防止膜23爲有機系之膜,故藉由反 射防止膜23之強度不足,有堆積物33a(33b)倒塌之虞 。此時,即使例如下述般變形亦可。 -19- 201001493 如第7圖A所示般,使具有例如27nm之膜厚之Si〇2 膜38介於第3圖A所示之反射防止膜23和SiN膜22之 間。然後,對具有膜21、2 2、3 8、2 3、2 4之晶圓W,供 給當作處理氣體之例如〇2氣體及Ar氣體,將該些處理氣 體予以電漿化,將光阻遮罩24當作遮罩蝕刻反射防止膜 23。藉由該電漿,如第7圖B所示般,因光阻遮罩24也 被蝕刻’故反射防止膜23上面僅殘留光阻遮罩24,或者 反射防止膜23露出。然後,對藉由該蝕刻Si02膜3 8露 出之晶圓W,與先前所述之例相同,執行第7圖C至第8 圖A之雙圖案形成工程。此時,針對非晶質矽膜3 1之側 壁寬(堆積物33a(33b)之寬度M3、M4),設定成與上 述實施型態相同之尺寸。該堆積物3 3 a、3 3 b如先前所述 般被形成在Si 02膜38上。並且,針對蝕刻處理或成膜處 理,因成爲與上述實施型態相同之工程,故省略。 然後,對晶圓W供給當作處理氣體之例如CF4氣體、 CHF3氣體、Ar氣體、02氣體、CH2F2氣體及F2氣體’將 該些處理氣體予以電漿化,將Si〇2膜38朝向下方施予各 向異性蝕刻至SiN膜22露出爲止(第8圖B )。 接著,除去堆積物33a、33b (第8圖C) ’執行第8 圖D〜第9圖D之雙圖案形成工程。於蝕刻Si02膜38之 時(第9圖B ),如上述般,使用例如CF4氣體、CHF3氣 體、Ar氣體、02氣體、CH2F2氣體及F2氣體當作處理氣 體。此時,針對非晶質矽膜3 5之側壁寬(堆積物3 7a ( 37b)之寬度M7、M8),也設定成與上述實施型態相同之 -20- 201001493 尺寸。藉由上述工程,形成與上述實施型態相同之尺 M13、M14)之圖案 30。 若藉由該實施型態時,則得與上述實施型態相同 果。再者,在該例中,於第1次雙圖案形成工程中, 強度較先前所述之反射防止膜23強的Si02膜38上 成堆積物33a ( 33b),故堆積物33a ( 33b)經Si02 被緊密固定於晶圓W,因此可以極減少堆積物3 3 a 間之尺寸誤差,可以提高圖案30之尺寸精度。 (第1實施型態之變形例2 :無機膜疊層構造) 在第1實施型態中,雖然使用由任何有機物所構 當作晶圓W最上膜的光阻遮罩24和當作其下膜之反 止膜2 3 (第3圖A ),但是即使如第1 〇圖所示般, 由無機膜所構成之例如SiN膜40和Si02膜39取代 膜24、23亦可。即使在該例中,形成與上述變形例 ί 同之圖案3 0,取得相同效果。再者,因可以在無機物 成非晶質矽膜31、35,故藉由將其成膜溫度提高至 200°C左右,可以形成更細密且形狀精度高之堆積物3 33b ) ' 3 7a ( 3 7b) ° (第2實施型態:圖案之8倍化) 在上述變形例2中,當在SiN膜40形成第1遮 案25時’在SiN膜40上形成具有與該第ί遮罩圖; 相同圖案之光阻膜,使用該光阻膜蝕刻SiN膜40, 寸( 之效 因在 ,形 m 38 、33b 成之 射防 使用 該些 1相 膜形 例如 3a ( 罩圖 I 25 但是 -21 - 201001493 即使如下述般,在SiN膜40形成第1遮罩圖案25亦可。 首先,如第11圖A所示般,準備從上方依順序具有 SiN 膜 40、8丨02膜 39、SiN 膜 22、Si02 膜 21 之晶圓 W。 接著,在屬於其最上膜之SiN膜40上形成光阻膜,並從 該光阻膜藉由例如光微影形成由線42和溝43所構成之第 3遮罩圖案44。在該例中’於第3遮罩圖案44中’線42 之寬度N1例如爲lOOnm,溝43之開口寬N2爲例如 220nm即可。因此,寬度N1和開口寬N2之比成爲5: 11 。並且,光阻遮罩41之膜厚爲27nm。 接著,對晶圓W供給當作處理氣體之例如SiH4氣體 ,並且將晶圓W加熱至3 00 °C以下之溫度例如100 °C,執 行藉由熱C V D等之成膜,形成非晶質矽膜4 5。此時’至 非晶質矽膜45之凹部46之開口寬N3 ’和凹部46之內壁 和線42之側壁之間的長度N4 (非晶質矽膜45之側壁寬 N4 )之比成爲5 ·· 3爲止,形成非晶質矽膜45 (第1 1圖B )。成膜後之非晶質矽膜45之膜厚爲例如60nm即可。 接著,對晶圓W供給當作處理氣體之例如〇2氣體和 HBr氣體,將該些處理氣體予以電漿化’將非晶質矽膜24 朝向下方予以各向異性蝕刻至光阻遮罩2 4表面露出爲止 。藉由該蝕刻,如第1 1圖c所示般,在線42之兩側壁形 成由非晶質矽膜45所構成之一組堆積物47 ( 47a、47b ) ,在相鄰之兩組之堆積物47、47間露出SiN膜40。再者 ,該堆積物47a(47b)之寬度N6幾乎與非晶質矽膜45 之側壁寬N4相等,相鄰之兩組之堆積物47、47之寬度 -22- 201001493 N5幾乎與葬晶質矽膜45之凹部46之開口 E N3相等。寬 度N5和堆積物47“47b)之寬度N6之比成爲5: 3。 接著,對晶圓w供給當作處理氣體之例如〇2氣體和 Ar氣體,將該些處理氣體予以電漿化,而除去光阻遞罩 41 (第12圖A)。依此,在堆積物47a、47b之間露出
SiN膜40。然後’使用例如CF4氣體、ΑΓ氣體、CHF3氣 體、〇2氣體、CHZF2氣體及F2當作處理氣體’將該些庵 理氣體予以電漿化,將堆積物47a、47b當作遮罩蝕刻SiN 膜40至Si 02膜39露出爲止(第12圖B) °之後’將當 作處理氣體之例如〇2氣體和HBr氣體供給至晶圓W,將 該些處理氣體予以電漿化而除去自非晶質砍膜45所形成 之堆積物47a、47b(第12圖C) ° 藉由以上之雙圖案形成工程’在SiN膜40形成由先 前所述之第10圖(第12圖C)所不之線26和溝27所構 成之第1遮罩圖案25’該線26之寬Ml大約爲60nm,溝 27之開口寬M2大約成爲100nm,寬度Ml和開口寬M2 之比成爲3: 5。再者’形成在該第1遮罩圖案25之圖案 (線26及溝27 )之數量,成爲形成在第3遮罩圖案44之 圖案(線42及溝43)之數量的兩倍。 之後,藉由對該晶圓W如先前所述般兩次重複執行 雙圖案形成工程,在SiN膜22形成圖案30(參照第9圖 C)。因此’被形成在圖案30之線28及溝29之數量,成 爲第3遮罩圖案44之線42及溝43之數量之8倍。 若藉由該第2實施型態時,當在先前所述之多層構造 -23- 201001493 之晶圓W形成1 : 1之圖案3 0時,以線42之寬度N 1 溝43之開口寬N2之比成爲5 : 1 1之方式,形成光阻遮 41之第3遮罩圖案44,並且以開口寬N5和堆積物47a 47b )之寬度N6之比成爲5 : 3之方式,形成非晶質矽 4 5。因此,藉由對該晶圓W執行3次雙圖案形成工程 可以使圖案30中之線28及溝29之數量增加至第3遮 圖案44之線42及溝43之數量之8倍,因此可以形成 微細尺寸之圖案30。 並且,準備第3圖A所示之晶圓W,即使執行藉由 2實施型態之三次雙圖案形成工程亦可。此時,使用例 SiN膜等之無機膜,在該無機膜上形成具有第3遮罩圖 44之光阻遮罩,以取代光阻遮罩24,使用該光阻遮罩 刻無機膜,形成具有第3遮罩圖案44之遮罩亦可。再 ,如上述變形例1中所說明般,即使Si02膜38 (第7 A )介在於S iN膜2 2和反射防止膜2 3之間亦可,藉此 以在Si02膜38上形成堆積物33。 (第3實施型態:圖案之丨6倍化) 當在第2實施型態中於光阻遮罩41形成第3遮罩 案4 4時,即使如先所述般,直接藉由光微影在該光阻 罩4 1形成圖案亦可,但是即使如下述般亦可。 如第13圖A所示般,準備從上方依順序具有(; coated)光阻遮罩 41、SiN 膜 40、Si02 膜 39、SiN 膜 、Si〇2膜21之晶圓W,在屬於其最上膜之光阻遮罩41 和 罩 ( 膜 5 罩 極 第 如 案 蝕 者 圖 可 圖 遮 I S -22 上 -24- 201001493 ,形成例如由SiN所構成之無機系之膜的光阻遮罩51。 光阻遮罩51具備有由線52和溝53所構成之第4遮罩圖 案54。具體而言,光阻遮罩51係藉由例如在光阻遮罩41 上形成SiN膜,使用被形成在該SiN膜上之第4遮罩圖案 5 4之光阻遮罩而蝕刻S iN膜而被形成。在該例中,於第4 遮罩圖案54中,線52之寬度P1例如爲22Onm,溝53之 開口寬P2爲例如420nm即可。因此,寬度N1和開口寬 N2之比成爲11: 21。並且’光阻遮罩51之膜厚爲27 nm 〇 接著,對晶圓W供給當作處理氣體之例如SiH4氣體 ,並且將晶圓W加熱至3 0 0 °C以下之溫度例如1 0 0 °C,執 行藉由熱CVD等之成膜處理,形成非晶質矽膜55。此時 ,藉由如先前所述般調整非晶質矽膜5 5之膜厚,至非晶 質矽膜55之凹部56之開口寬P3和非晶質矽膜55之側壁 寬P4之比成爲U : 5爲止,形成非晶質矽膜5 5 (第13 圖B )。成膜後之非晶質矽膜55之膜厚爲例如lOOnm即 可。 接著,對晶圓W供給當作處理氣體之例如〇2氣體和 HBr氣體,將該些處理氣體予以電漿化,將非晶質矽膜5 5 朝向下方予以各向異性蝕刻至光阻遮罩5 1表面露出爲止 。藉由該蝕刻,如第13圖C所示般,在線5 2之兩側壁形 成一組堆積物5 7 ( 57a、57b )’在相鄰之兩組之堆積物 57、57間露出下層之SiN膜41。再者,該堆積物57a( 5 7b)之寬度P6幾乎與非晶質'矽膜55之側壁寬P4相等, -25- 201001493 開口寬P5幾乎與非晶質矽膜55之凹部56之開口寬P3相 等。即是,開口寬P5和堆積物57a(57b)之寬度P6之 比成爲1 1 : 5。 之後,將當作處理氣體之例如CF4氣體、CHF3氣體 、Ar氣體、02氣體、CH2F2氣體及F2氣體供給至晶圓w 。然後,將該些處理氣體予以電漿化除去光阻遮覃5 1 (第 1 4 圖 A )。 接著,對晶圓W供給當作處理氣體之例如〇2氣體和 Ar氣體,將該些處理氣體予以電漿化,將堆積物57a、 57b當作遮罩而蝕刻光阻遮罩41(第14圖B)。藉由該 蝕刻,在堆積物5 7 a、5 7 b之間露出S i N膜4 0。 之後,將當作處理氣體之例如〇2氣體和HBr氣體供 給至晶圓W,將該些處理氣體予以電槳化而除去自非晶質 膜55所形成之堆積物57a、57b (第14圖C)。 藉由以上之雙圖案形成工程,在光阻遮罩41形成由 先前所述之第1 1圖所示之線42和溝43所構成之第3遮 罩圖案44,該線42之寬N1大約爲100nm,溝43之開口 寬N2大約成爲220nm,寬度N1和開口寬N2之比成爲5 :11。再者,該第3遮罩圖案44之圖案之圖案數量成爲 被形成在光阻遮罩51之圖案之數量之兩倍。 之後,藉由對該晶圓W如先前所述般三次重複執行 雙圖案形成工程,在SiN膜22形成圖案30。被形成在圖 案30之線28及溝29之數量,成爲第4遮罩圖案54之線 52及溝53之數量之16倍。 -26 - 201001493 若藉由該第3實施型態時,當在具有先前所述之 構造之晶圓W形成圖案30時,以線52之寬度P1和 之開口寬P2之比成爲11: 21之方式,形成光阻遮. 之第4遮罩圖案54,並且以開口寬P5和堆積物57a )之寬度P6之比成爲1 1 : 5之方式,形成非晶質矽 。因此,藉由對該晶圓W執行4次雙圖案形成工程 以使圖案30中之線28及溝29之數量增加至第4遮 案54之線52及溝5 3之數量之1 6倍,因此可以形成 細尺寸之圖案3 0。 並且,如在先前所述之第1實施型態之變形例 中所說明般,即使藉由無機膜形成光阻遮罩4 1亦可。 (第4實施型態:圖案之2η倍化) 如在上述各例中所說明般,藉由增加晶圓W之 膜數量,並且兩次、三次、四次執行雙圖案形成工程 (- 以使圖案30之數量增加成被形成在晶圓W表層之圖 25、44、54)之數量之 4(22)倍、8(23)倍、16( 倍。由此情形可知,藉由更多5次、6次、…(η-1 ) n ( lx : 5以上之正數)次執行雙圖案形成工程,可以 案30之數量增加至晶圓W表層之圖案之數量之32 ( 倍、6 4 ( 2 6 )倍、2 η -1倍、2 η倍。在此,如上述般 重複雙圖案形成工程時,針對形成於晶圓W表層之 遮罩60之第η遮罩圖案61 (25、44、54)之線62( 42、52)之尺寸及溝63 (27、43、53)之尺寸之設定 .多層 溝53 罩 5 1 (57b 膜55 .’可 罩圖 ,極微 1、2 疊層 ,可 案( 24) 次、 將圖 25) ,當 光阻 26 > 方法 -27- 201001493 ,參照第1 5圖及第1 6圖予以說明。 第1 5圖係模式性表示在最上段表示蝕刻對象之SiN 膜22,和形成在該SiN膜22之圖案30,隨著朝向下段增 加雙圖案形成工程之次數時之晶圓W表層之光阻遮罩60 。此時,雖然省略圖示,但是於η次重複雙圖案形成工程 之時,則在SiN膜22上疊層(η+1 )層之膜。 由第1 5圖及第1 6圖,可知從上起第2段所示之線62 之寬度幾乎與最上段之溝29之開口寬相等,從上起第2 段所示之溝63之寬度幾乎與(最上段之線28之寬度X2 + 最上段之溝29之開口寬)相等。再者,可知形成在從上 起第2段之線62之側壁之堆積物之寬度幾乎與最上段之 線2 8之寬度相等。於是,藉由順序執行如此之計算,算 出η次重複雙圖案形成工程使圖案30成爲2η倍化之時所 需之遮罩圖案61之尺寸及堆積物之尺寸,因此,根據其 算出結果,重複上述雙圖案形成工程,依此可以形成被形 成在晶圓W表面之圖案61之數量之2η倍之數量的圖案 30。並且,作爲晶圓W上最終形成圖案30之膜,除SiN 膜22以外,即使爲Si02膜等之無機膜亦可。 並且,如先前之第1實施型態中所說明般,可知使圖 案30成爲4倍化之時之溝27 +線26之比成爲0.6,由於 最接近1·〇(參照第16圖)’故藉由光微影可以容易形成 該遮罩圖案61 ( 24 )。 並且,在上述實施型態中,爲了方便說明形成在SiN 膜22之圖案30之尺寸爲一定,每增加雙圖案形成工程之 -28- 201001493 次數,晶圓w表面之遮罩圖案61(25、44、54)之尺寸 變大,但是實際上可以藉由將線62 ( 26、42、52 )之尺寸 和溝63 (27、43、53)之尺寸,保持於先前所述之比率, 並且將遮罩圖案61之線和溝之密度設定成可以容易藉由 KrF準分子雷射或ArF準分子雷射形成之密度’在SiN膜 22上形成超過使用該些雷射之曝光裝置中之曝光界限的尺 寸極小之圖案3 0。 接著,針對實施上述之半導體裝置之製造方 '法之半導 體製造裝置之一例,一面參照圖17 —面予以說明。該半 導體製造裝置具備:屬於具備有第1基板搬運手段81a之 載入模組的第1搬運室81,和裝載鎖定室82、82,和屬 於具備有第2基板搬運手段8 3a之真空搬運室模組的第2 搬運室83。在第1搬運室81之前側,設置有多數例如3 處載置於內部收納多數片晶圓W之密閉型之載體C之裝 載埠85,再者在該第1搬運室8 1之側面,連接有執行晶 圓W之方位或偏心調整之對準室8 6。 在第2搬運室83氣密連接有藉由熱CVD執行成膜處 理之成膜模組87、87,和執行電漿處理之蝕刻模組88、 88。該成膜模組87具備有在內部載置晶圓W之載置台, 和將該晶圓W加熱至例如3 0 0 °C以下之加熱部、將用以在 成膜模組87內供給形成先前所述之非晶質矽膜之處理氣 體例如SiH4氣體之供給單元及真空排氣單元(皆無圖示 )。再者’蝕刻模組8 8爲例如平行平板型之電漿蝕刻裝 置’具備有兼作載置晶圓W之載置台及被設置成與其載 -29- 201001493 置台之上方對向之氣體噴淋頭之上部電極、經該氣體噴淋 頭對晶圓w供給先前所述之蝕刻用之處理氣體的供給部 、用以將真空排氣單元及處理氣體予以電槳化之高頻供給 源(皆無圖示),從氣體噴淋頭將處理氣體供給至餓刻模 組8 8內,於載置台和上部電極之間施加高頻而將處理氣 體電漿化,依此構成執行先前所述之電漿蝕刻。圖中G爲 閘閥,GT爲閘門。 在該半導體製造裝置,設置有例如屬於由例如電腦所 構成之控制手段之控制部80A。該控制部80A具備有無圖 式之程式、CPU及記憶體,在上述程式被編入指令(各步 驟),使自控制部80A將控制訊號發送至半導體製造裝置 之各部,進行晶圓之搬運及處理。再者,在例如記憶體具 備寫入各模組之處理壓力、處理溫度、處理時間、氣體流 量或電力値等之處理參數値之區域,於CPU實行程式之 各指令之時’讀出該些處理參數,因應其參數値之控制訊 號被傳送至半導體製造裝置80之各部位。該程式(包含 處理參數之輸入操作或有關顯示之程式)例如係被儲存於 軟碟、CD、硬碟' MO (光磁性碟)等之電腦記憶媒體的 記憶部8 0 B而被安裝於控制部8 〇 a。 接著’針對該半導體製造裝置中之晶圓w之流程予 以簡單說明。首先,將載體C載置於裝載埠8 5,藉由第1 基板搬運手段81a經第丨搬運室81將載體C內之晶圓w 搬運至裝載鎖定室82。然後,藉由第2基板搬運手段83a ’經裝載鎖疋室82將晶圓w搬入至第2搬運室83內。 -30- 201001493 然後,經該第2搬運室83配合上述處理流程順序將晶圓 W搬運至成膜模組8 7及蝕刻模組8 8,在成膜模組8 7中 執行上述實施型態之各非晶質矽膜之成膜處理,在鈾刻模 組8 8中執行各蝕刻處理。於結束各處理之後,晶圓w以 與被搬入之順序相反之順序回到載體C。 【圖式簡單說明】 第1圖A爲表示半導體裝置之一例的NAND型快閃記 憶體之俯視圖。 第1圖B爲第1圖A所示之NAND型快閃記憶體之 剖面圖。 第2圖A爲表示被形成在半導體基板上之遮罩之一例 的剖面圖。 第2圖B爲表示被修整之第2圖A所示之遮罩之一例 的剖面圖。 第2圖C爲表示形成在第2圖C所示之被修整之遮罩 之側壁上的堆積物之一例的剖面圖。 第3圖A爲用以說明藉由本發明之第1實施型態之半 導體裝置之製造工程之一工程的模式圖。 第3圖B爲說明第3圖A所示之工程之後之工程的模 式圖。 第3圖C爲說明第3圖B所示之工程之後之工程的模 式圖。 第3圖D爲說明第3圖C所示之工程之後之工程的模 -31 - 201001493 式圖。 第4圖A爲說明第3圖B所示之工程的放大模式圖。 第4圖B爲接續第4圖A,說明第3圖B所示之工程 的放大模式圖。 第5圖A爲說明第3圖D所示之工程之後之工程的 模式圖。 第5圖B爲說明第5圖A所示之工程之後之工程的模 式圖。 第5圖C爲說明第5圖B所示之工程之後之工程的模 式圖。 第5圖D爲說明第5圖C所示之工程之後之工程的模 式圖。 第5圖E爲說明第5圖D所示之工程之後之工程的模 式圖。 第6圖A爲說明第5圖E所示之工程之後之工程的模 式圖。 第6圖B爲說明第6圖A所示之工程之後之工程的模 式圖。 第7圖A爲用以說明藉由第1實施型態之變形例的半 導體裝置之製造工程之一工程的模式圖。 第7圖B爲說明第7圖A所示之工程之後之工程的模 式圖。 第7圖C爲說明第7圖B所示之工程之後之工程的模 式圖。 -32- 201001493 第7圖D爲說明第7圖C所示之工程之後之工程的模 式圖。 第8圖A爲說明第7圖D所示之工程之後之工程的 模式圖。 第8圖B爲說明第8圖A所示之工程之後之工程的模 式圖。 第8圖C爲說明第8圖B所示之工程之後之工程的模 式圖。 第8圖D爲說明第8圖C所示之工程之後之工程的模 式圖。 第9圖A爲說明第8圖D所示之工程之後之工程的 模式圖。 第9圖B爲說明第9圖A所示之工程之後之工程的模 式圖。 第9圖C爲說明第9圖B所示之工程之後之工程的模 式圖。 第9圖D爲說明第9圖C所示之工程之後之工程的模 式圖。 第10圖爲用以說明藉由第1實施型態之其他變形例 的半導體裝置之製造工程之一工程’說明對應於第7圖A 所示之工程之工程的模式圖。 第11圖A爲表示藉由本發明之第2實施型態之半導 體裝置之製造工程之一例的工程圖。 第1 1圖B爲說明第1 1圖A所示之工程之後之工程的 -33- 201001493 模式圖。 第1 1圖C爲說明第1 1圖B所示之工程之後之工程的 模式圖。 第1 2圖A爲說明第11圖C所示之工程之後之工程的 模式圖。 第1 2圖B爲說明第1 2圖A所示之工程之後之工程的 模式圖。 第12圖C爲說明第12圖B所示之工程之後之工程的 模式圖。 第13圖A爲說明第12圖C所示之工程之後之工程的 模式圖。 第1 3圖B爲說明第1 3圖A所示之工程之後之工程的 模式圖。 第1 3圖C爲說明第1 3圖B所示之工程之後之工程的 模式圖。 第14圖A爲說明第13圖C所示之工程之後之工程的 模式圖。 第14圖B爲說明第14圖A所示之工程之後之工程的 模式圖。 第14圖C爲說明第14圖B所示之工程之後之工程的 模式圖。 第15圖爲表示藉由本發明之第4實施型態之半導體 裝置之一例的模式圖。 第16圖爲表示藉由第4實施型態之半導體裝置之圖 -34- 201001493 案尺寸之一例的表。 第17圖爲表示用以製造上述半導體裝置之半導體製 造裝置之一例的平面圖。 【主要元件符號說明】 21 : Si02 膜 22 : SiN 膜 23 :反射防止膜 25 :遮罩圖案 30 :圖案 3 1 :非晶質矽膜 3 2 :凹部 3 3 a :堆積物 3 3 b :堆積物 3 5 :非晶質矽膜 3 6 :凹部 3 7 a :堆積物 3 7b :堆積物 35-

Claims (1)

  1. 201001493 七、申請專利範圍: 1. 一種圖案形成方法,藉由電漿蝕刻在基板上之膜形 成由多數之平行線所構成之圖案,其特徵爲:包含 使用從下段側疊層被蝕刻膜及犧牲膜之基板,以上述 線之寬度和上述線之間隔尺寸之比成爲3 : 5之方式,在 上述犧牲膜上形成由多數線所構成之第1遮罩圖案之工程 f 於在上述第1遮罩圖案之表面形成薄膜之後,至上述 犧牲膜藉由電漿而露出爲止進行該薄膜之各向異性蝕刻, 在上述線之兩側壁形成由將成爲上述第1遮罩圖案之線寬 之1/3寬度的上述薄膜所構成之堆積物的工程; 除去上述線而使上述堆積物殘留,將該堆積物當作遮 罩藉由電漿蝕刻上述犧牲膜,並且除去該堆積物,依此在 該犧牲膜形成由多數線所構成之第2遮罩圖案之工程; 於在上述第2遮罩圖案之表面形成薄膜之後,至上述 被蝕刻膜藉由電漿而露出爲止進行該薄膜之各向異性蝕刻 ,在上述線之兩側壁形成由將成爲與上述第2遮罩圖案之 線寬相同寬度的上述薄膜所構成之堆積物的工程;和 除去上述第2遮罩圖案中之線而使上述薄膜殘留,將 該堆積物當作遮罩而藉由電漿對上述被蝕刻膜予以蝕刻, 並且除去該堆積物,依此在該蝕刻膜形成由多數線所構成 之圖案之工程。 2. 如申請專利範圍第1項所記載之圖案形成方法,其 中, -36 - 201001493 上述第1遮罩圖案係藉由含有機物之光阻遮罩所形成 ,上述犧牲膜爲含有機物的反射防止膜。 3. —種半導體製造裝置,其特徵爲:具備 載入模組,用以載置收納基板之載體,執行該載體內 之基板之裝載、卸載; 真空搬運室模組,經該載入模組搬入基板; 成膜模組,對經上述真空搬運室模組而被搬入之基板 執行成膜處理; 蝕刻模組,對經上述真空搬運室模組而被搬入之基板 執行蝕刻處理; 基板搬運手段’在上述搬運室、載入模組、成膜模組 及蝕刻模組間搬運基板;和 控制手段,以實施申請專利範圍第1項所記載之圖案 形成方法之方式,控制上述基板搬運手段之動作。 4. 一種記憶媒體,儲存有在電腦上動作之電腦程式, 其特徵爲: 上述電腦程式係以實施申請專利範圍第1項所記載之 圖案形成方法之方式組成步驟群。 -37-
TW98104711A 2008-02-15 2009-02-13 Pattern forming method, semiconductor manufacturing apparatus and storage medium TW201001493A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008035161A JP2009194248A (ja) 2008-02-15 2008-02-15 パターン形成方法、半導体製造装置及び記憶媒体

Publications (1)

Publication Number Publication Date
TW201001493A true TW201001493A (en) 2010-01-01

Family

ID=40956907

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98104711A TW201001493A (en) 2008-02-15 2009-02-13 Pattern forming method, semiconductor manufacturing apparatus and storage medium

Country Status (3)

Country Link
JP (1) JP2009194248A (zh)
TW (1) TW201001493A (zh)
WO (1) WO2009101878A1 (zh)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
US8048616B2 (en) * 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120089697A (ko) 2009-10-26 2012-08-13 쌘디스크 3디 엘엘씨 4× 1/2 피치 릴리프 패터닝을 위해 이중 측벽 패터닝을 사용하여 메모리 라인들 및 구조들을 형성하는 장치 및 방법
KR101660433B1 (ko) 2010-07-29 2016-09-27 삼성전자 주식회사 수직 채널 트랜지스터를 구비한 반도체 소자
US8795953B2 (en) 2010-09-14 2014-08-05 Nikon Corporation Pattern forming method and method for producing device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6366454B2 (ja) 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130631A (ja) * 1993-11-05 1995-05-19 Sanyo Electric Co Ltd パターン形成方法及びそれを利用した半導体記憶装置の製造方法
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JP2000011858A (ja) * 1998-06-22 2000-01-14 Yamaha Corp 電界放射型素子の製造方法
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
JP4619839B2 (ja) * 2005-03-16 2011-01-26 株式会社東芝 パターン形成方法
JP4247198B2 (ja) * 2005-03-31 2009-04-02 株式会社東芝 半導体装置の製造方法
JP2007096214A (ja) * 2005-09-30 2007-04-12 Elpida Memory Inc 半導体装置の製造方法
JP2007188925A (ja) * 2006-01-11 2007-07-26 Tokyo Electron Ltd 基板処理方法
US7959818B2 (en) * 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device

Also Published As

Publication number Publication date
JP2009194248A (ja) 2009-08-27
WO2009101878A1 (ja) 2009-08-20

Similar Documents

Publication Publication Date Title
TW201001493A (en) Pattern forming method, semiconductor manufacturing apparatus and storage medium
US20150371851A1 (en) Amorphous carbon deposition process using dual rf bias frequency applications
TWI453794B (zh) A pattern forming method, a semiconductor manufacturing apparatus, and a memory medium
US20100170871A1 (en) Fine pattern forming method
KR20080006515A (ko) 디바이스 형성 방법
CN113614880A (zh) 多间隔图案化方案
US20240087894A1 (en) High density carbon films for patterning applications
Yasmeen et al. Atomic layer deposition beyond thin film deposition technology
US20090305506A1 (en) Self-aligned dual patterning integration scheme
US20230259043A1 (en) Structures and methods for use in photolithography
US20070114205A1 (en) Method of forming etching mask
US20080286699A1 (en) Reticles, and methods of treating reticles, configuring reticles and using reticles
US7824824B2 (en) Composite phase shifting lithography mask including etch stop layer
JPH0529479A (ja) 半導体装置およびそのコンタクトホールの形成方法
US11881402B2 (en) Self aligned multiple patterning
CN111640657A (zh) 半导体器件及其形成方法
US11615958B2 (en) Methods to reduce microbridge defects in EUV patterning for microelectronic workpieces
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
US20240087892A1 (en) Double Patterning Method of Patterning a Substrate
TW202244312A (zh) 基板處理方法
TW202308466A (zh) 電漿處理方法、電漿處理裝置及電漿處理系統
KR20220062828A (ko) 하드마스크 제조 방법 및 이를 이용한 반도체 소자 제조 방법
JP2016537501A (ja) ナノメートルスケールの特徴を直接形成する方法及び装置
JP2002026020A (ja) 半導体装置の製造方法
JPH02181428A (ja) 薄膜形成方法