US20140023834A1 - Image transfer process employing a hard mask layer - Google Patents

Image transfer process employing a hard mask layer Download PDF

Info

Publication number
US20140023834A1
US20140023834A1 US13/571,496 US201213571496A US2014023834A1 US 20140023834 A1 US20140023834 A1 US 20140023834A1 US 201213571496 A US201213571496 A US 201213571496A US 2014023834 A1 US2014023834 A1 US 2014023834A1
Authority
US
United States
Prior art keywords
layer
mask layer
patterned
pattern
lithographic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/571,496
Inventor
Ryan O. Jung
Sivananda K. Kanakasabapathy
Yunpeng Yin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/571,496 priority Critical patent/US20140023834A1/en
Publication of US20140023834A1 publication Critical patent/US20140023834A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Definitions

  • the present disclosure generally relates to a process for manufacturing semiconductor structures, and particularly to an image transfer process employing a hard mask layer to memorize a composite pattern, and structures for effecting the same.
  • a trilayer lithography process as known in the art employs an organic material layer such as an amorphous carbon layer in order to transfer a composite image of two independent images.
  • the sidewalls of the organic material layer are formed with a significant level of line edge roughness and line width roughness during a pattern transfer etch that forms a pattern in the organic material layer employing an overlying layer as a patterned mask because the organic material layer is prone to lateral etching.
  • the line edge roughness and the line width roughness of the organic material layer are further increased during a subsequent pattern transfer etch that transfers the pattern in the organic material layer into an underlying layer employing the organic material layer as an etch mask.
  • the increased line edge roughness and line width roughness in the organic material layer is at least partly transferred into the underlying layer.
  • the fidelity of pattern transfer is degraded due to the lateral etching of the organic material layer in the material stack employed for the trilayer lithography process.
  • At least one mask layer formed over a substrate includes at least one of a dielectric material and a metallic material.
  • a patterned mask layer including said first pattern is formed.
  • An overlying structure including a second pattern that includes at least one blocking area is formed over said patterned mask layer. Portions of said patterned mask layer that do not underlie said blocking area are removed. The remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • the patterned mask layer includes a dielectric material or a metallic material, and thus, enables high fidelity pattern transfer into an underlying material layer.
  • a method of patterning a structure is provided. At least one mask layer including at least one of a dielectric material and a metallic material is formed over a substrate. A first pattern is formed in one of the at least one mask layer. A patterned mask layer including the first pattern is thus formed. An overlying structure including a second pattern over the patterned mask layer is subsequently formed. The second pattern includes at least one blocking area. Portions of the patterned mask layer that do not underlie the blocking area are removed. Remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • a lithographic structure which includes an underlying material layer located on a substrate, at least one mask layer including at least one of a dielectric material and a metallic material and located over the underlying material layer, an organic planarizing layer (OPL) located over the at least one mask layer, an antireflective coating (ARC) layer located on the OPL, and a patterned structure located over the ARC layer.
  • OPL organic planarizing layer
  • ARC antireflective coating
  • another lithographic structure which includes an underlying material layer located on a substrate, a patterned mask layer including at least one of a dielectric material and a metallic material and located over the underlying material layer, an organic planarizing layer (OPL) located over the patterned mask layer, an antireflective coating (ARC) layer located on the OPL, and a photoresist layer located over the ARC layer and including at least one opening therein.
  • OPL organic planarizing layer
  • ARC antireflective coating
  • FIG. 1 is a vertical cross-sectional view of a first exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a dielectric mask layer, a metallic mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a first embodiment of the present disclosure.
  • OPL organic planarizing layer
  • ARC antireflective coating
  • FIG. 2 is a vertical cross-sectional view of the first exemplary structure after deposition of a conformal material layer according to the first embodiment of the present disclosure.
  • FIG. 3 is a vertical cross-sectional view of the first exemplary structure after formation of a set of spacer structures according to the first embodiment of the present disclosure.
  • FIG. 4 is a vertical cross-sectional view of the first exemplary structure after removal of the mandrel structures according to the first embodiment of the present disclosure.
  • FIG. 5 is a vertical cross-sectional view of the first exemplary structure after transfer of a first pattern in the set of spacer structures into the first ARC layer according to the first embodiment of the present disclosure.
  • FIG. 6 is a vertical cross-sectional view of the first exemplary structure after transfer of the first pattern into the first OPL according to the first embodiment of the present disclosure.
  • FIG. 7 is a vertical cross-sectional view of the first exemplary structure after transfer of the first pattern into the metallic mask layer according to the first embodiment of the present disclosure.
  • FIG. 8 is a vertical cross-sectional view of the first exemplary structure after removal of the first OPL according to the first embodiment of the present disclosure.
  • FIG. 9 is a vertical cross-sectional view of the first exemplary structure after application of a second OPL, a second ARC layer, and a first photoresist layer, and lithographic patterning of the first photoresist layer with a second pattern according to the first embodiment of the present disclosure.
  • FIG. 10 is a vertical cross-sectional view of the first exemplary structure after transferring the second pattern down to an upper portion of the second OPL according to the first embodiment of the present disclosure.
  • FIG. 11 is a vertical cross-sectional view of the first exemplary structure after removal of the second ARC layer according to the first embodiment of the present disclosure.
  • FIG. 12 is a vertical cross-sectional view of the first exemplary structure after removal of physically exposed portions of the metallic mask layer according to the first embodiment of the present disclosure.
  • FIG. 13 is a vertical cross-sectional view of the first exemplary structure after removal of the second OPL according to the first embodiment of the present disclosure.
  • FIG. 14 is a vertical cross-sectional view of the first exemplary structure application of a second photoresist layer and lithographic patterning of the second photoresist layer with a third pattern according to the first embodiment of the present disclosure.
  • FIG. 15 is a vertical cross-sectional view of the first exemplary structure after transfer of a derived pattern which is a union of the third pattern and a composite pattern that is an intersection of the first pattern and the second pattern into the dielectric mask layer and the optional dielectric material layer according to the first embodiment of the present disclosure.
  • FIG. 16 is a vertical cross-sectional view of the first exemplary structure after transfer of the derived pattern into an upper portion of the underlying material layer and removal of the metallic mask layer according to the first embodiment of the present disclosure.
  • FIG. 17 is a vertical cross-sectional view of the first exemplary structure after transfer of the derived pattern to the bottom of the underlying material layer and removal of the dielectric mask layer according to the first embodiment of the present disclosure.
  • FIG. 18 is a vertical cross-sectional view of a second exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a metallic mask layer, a dielectric mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a second embodiment of the present disclosure.
  • OPL organic planarizing layer
  • ARC antireflective coating
  • FIG. 19 is a vertical cross-sectional view of the second exemplary structure after transfer of the first pattern into the dielectric mask layer according to the second embodiment of the present disclosure.
  • FIG. 20 is a vertical cross-sectional view of the second exemplary structure after transfer of the second pattern into the dielectric mask layer and removal of the second OPL according to the second embodiment of the present disclosure.
  • FIG. 21 is a vertical cross-sectional view of the second exemplary structure after transfer of the derived pattern into an upper portion of the underlying material layer and removal of the dielectric mask layer according to the second embodiment of the present disclosure.
  • FIG. 22 is a vertical cross-sectional view of a third exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a third embodiment of the present disclosure
  • OPL organic planarizing layer
  • ARC first antireflective coating
  • FIG. 23 is a vertical cross-sectional view of the third exemplary structure after transfer of the first pattern into the mask layer according to the third embodiment of the present disclosure.
  • FIG. 24 is a vertical cross-sectional view of the third exemplary structure after transfer of the second pattern into the mask layer and removal of the second OPL according to the third embodiment of the present disclosure.
  • FIG. 25 is a vertical cross-sectional view of the third exemplary structure after transfer of the derived pattern into an upper portion of the underlying material according to the third embodiment of the present disclosure.
  • FIG. 26 is a vertical cross-sectional view of a fourth exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a dielectric mask layer, a metallic mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and a photoresist layer and lithographic patterning of the photoresist layer according to a fourth embodiment of the present disclosure.
  • OPL organic planarizing layer
  • ARC first antireflective coating
  • FIG. 27 is a vertical cross-sectional view of a fifth exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a metallic mask layer, a dielectric mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and a photoresist layer and lithographic patterning of the photoresist layer according to a fifth embodiment of the present disclosure.
  • OPL organic planarizing layer
  • ARC first antireflective coating
  • FIG. 28 is a vertical cross-sectional view of a sixth exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and a photoresist layer and lithographic patterning of the photoresist layer according to a sixth embodiment of the present disclosure.
  • OPL organic planarizing layer
  • ARC first antireflective coating
  • FIG. 26 is a vertical cross-sectional view of a seventh exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, at least one mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and application and patterning of a primary photoresist layer, and application and patterning of a secondary photoresist layer according to a seventh embodiment of the present disclosure.
  • OPL organic planarizing layer
  • ARC first antireflective coating
  • the present disclosure relates to an image transfer process employing a hard mask layer to memorize a composite pattern and structures for effecting the same, which are now described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments. The drawings are not necessarily drawn to scale.
  • FIG. 1 is a vertical cross-sectional view of a first exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a dielectric mask layer, a metallic mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a first embodiment of the present disclosure.
  • OPL organic planarizing layer
  • ARC antireflective coating
  • a first exemplary structure includes a substrate 10 and a material stack formed thereupon.
  • the substrate 10 can include a semiconductor substrate having semiconductor devices (not shown) therein.
  • the semiconductor devices can include, for example, field effect transistors, junction transistors, diodes, resistors, capacitors, inductors, or any other semiconductor device known in the art.
  • the substrate 10 may, or may not, include contact-level dielectric material layers (not shown) and/or interconnect level dielectric material layers (not shown) as well as embedded contact via structures (not shown) and/or embedded wiring level metal interconnect structures.
  • the topmost portion of the substrate 10 can include a semiconductor material such as single crystalline silicon.
  • the underlying material layer 20 L can be formed on the substrate 10 .
  • the underlying material layer 20 L can be a conductive material layer, a plurality of conductive material layers, a single dielectric material layer, a plurality of dielectric material layers, or a stack of at least one dielectric material layer and a conductive material layer.
  • the underlying material layer 20 L can be a stack of gate level layers, a wiring-level dielectric material layer, a contact-level dielectric material layer, a conductive material layer such as a metal layer or a doped semiconductor layer.
  • Exemplary materials that can be included in the underlying material layer include, but are not limited to, gate dielectric materials known in the art, gate conductor materials known in the art, doped semiconductor materials, and conductive metallic materials, silicon oxide, silicon nitride, silicon oxynitride, organosilicate glass, and stacks thereof.
  • the underlying material layer 20 L can be deposited, for example, by chemical vapor deposition (CVD), spin coating, or by any other deposition method known in the art.
  • the thickness of the underlying material layer 20 L can be from 10 nm to 2,000 nm, although lesser and greater thicknesses can also be employed.
  • the underlying material layer 20 L can be a stack of a gate dielectric layer and a gate conductor layer.
  • An optional dielectric material layer 30 L can be optionally deposited on the top surface of the underlying material layer 20 L.
  • the optional dielectric material layer 30 L can be, for example, a silicon nitride layer or a silicon oxide layer. If the optional dielectric material layer 30 L includes silicon nitride or silicon oxide, the optional dielectric material layer 30 L can be deposited by a chemical vapor deposition (CVD).
  • the thickness of the optional dielectric material layer 30 L can be from 30 nm to 300 nm, although lesser and greater thicknesses can also be employed.
  • the underlying material layer 20 L can be a stack of gate dielectric layer and a gate conductor layer, and the optional dielectric material layer 30 L can be a gate cap dielectric layer including silicon nitride or silicon oxide.
  • At least one mask layer 45 L is subsequently deposited on the optional dielectric material layer 30 L or the underlying material layer 20 L (if an optional dielectric material layer is not present).
  • the at least one mask layer 45 L can include at least one of a dielectric material and a metallic material.
  • the at least one mask layer 45 L can be a stack, from bottom to top, of a dielectric mask layer 40 L and a metallic mask layer 50 L.
  • the at least one mask layer 45 L can be a stack, from bottom to top, of a metallic mask layer 50 L and a dielectric mask layer 40 L.
  • the at least one mask layer 45 L can be a single layer of a dielectric mask layer 40 L.
  • the at least one mask layer 45 L can be a single layer of a metallic mask layer 50 L.
  • the dielectric mask layer 40 L includes a dielectric material, which can be silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide such as HfO 2 , LaO 2 , or TiO 2 , or a combination thereof.
  • the dielectric mask layer 40 L can be deposited, for example, by chemical vapor deposition (CVD), physical vapor deposition (PVD), or a combination thereof.
  • the thickness of the dielectric mask layer 40 L can be from 10 nm to 100 nm, although lesser and greater thicknesses can also be employed.
  • the dielectric mask layer 40 L can be deposited by a chemical vapor deposition (CVD) using tetraethylorthosilicate (TEOS) as a precursor material.
  • TEOS tetraethylorthosilicate
  • Silicon oxide derived from TEOS, commonly referred to as TEOS oxide, can be deposited by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD).
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • a metallic mask layer 50 L is deposited on the dielectric mask layer 40 L.
  • the metallic mask layer 50 L includes a conductive material.
  • Exemplary conductive materials that can be employed for the metallic mask layer 50 L include, but are not limited to, TiN, TaN, WN, TiC, TaC, WC, Ti, Ta, W, and combinations thereof.
  • the metallic mask layer 50 L can be a TiN layer.
  • the metallic mask layer 50 L can be deposited, for example, by physical vapor deposition (PVD), chemical vapor deposition (CVD), or a combination thereof.
  • the thickness of the metallic mask layer 50 L can be from 10 nm to 100 nm, although lesser and greater thicknesses can also be employed.
  • a first organic planarizing layer (OPL) 60 L is deposited on the top surface of the at least one mask layer 45 L, which can be the top surface of the metallic mask layer 50 L.
  • the first OPL 60 L includes a non-photosensitive organic polymer including carbon, hydrogen, oxygen, and optionally fluorine.
  • the first OPL 60 L can include hydrocarbons and/or hydrofluorocarbons.
  • the first OPL 60 L can be formed, for example, by spin coating.
  • the thickness of the first OPL 60 L can be from 30 nm to 300 nm, although lesser and greater thicknesses can also be employed.
  • a first antireflective coating (ARC) layer 62 L is deposited on the first OPL 60 L.
  • the antireflective coating (ARC) layer is herein referred to as the first antireflective coating (ARC) layer 62 L.
  • the first ARC layer 62 L can include a hydrocarbon based material having a different material composition than the first OPL 60 L.
  • the first ARC layer 62 L comprises silicon at an atomic concentration from 1% to 50%.
  • the first ARC layer 62 L comprises a refractory metal at an atomic concentration from 1% to 50%.
  • the first ARC layer 62 L controls reflectivity of the surface (i.e., the surface of the metallic mask layer 50 L) over which the first OPL 60 L is patterned by reducing standing waves and optical notching.
  • the thickness of the first ARC layer 62 L may be from 10 nm to 150 nm, and typically from 20 nm to 80 nm, although lesser and greater thicknesses are explicitly contemplated herein.
  • the first ARC layer 62 L can be applied, for example, by spin coating.
  • a mandrel material layer (not shown) is deposited on the first ARC layer 62 L.
  • the mandrel material layer can include a photoresist, an amorphous carbon layer, or a material that can be removed selective to the material of a conformal material layer to be subsequently deposited.
  • the mandrel material layer is deposited as a blanket layer (unpatterned layer), for example, by chemical vapor deposition (CVD) or spin coating.
  • the thickness of the mandrel material layer can be from 30 nm to 300 nm, although lesser and greater thicknesses can also be employed.
  • the mandrel material layer is a photoresist layer that can be directly patterned by lithographic exposure and development.
  • the mandrel material layer is patterned by lithographic means, i.e., exposure and development, to form mandrel structures 70 .
  • the lithographic pattern may be a pattern of a periodic array, or may be an irregular pattern.
  • the lithographic pattern is a pattern of a regular periodic array.
  • the lithographic pattern may contain an array of lines and spaces, or may contain a pattern of via holes in a matrix of the mandrel material layer, or may contain a pattern of isolated structures separated from one another by a contiguous cavity that laterally surrounds each isolated structure, i.e., each mandrel structure 70 .
  • Each of the mandrel structures 70 may be separated from one another as in the case of a lithographic pattern containing an array of lines and spaces, or may be adjoined among one another as in the case of a lithographic pattern containing an array of
  • the pitch of the pattern in the mandrel structures 70 is a lithographic dimension, which is herein referred to as a lithographic pitch p. If the pattern in the mandrel structures 70 is a pattern of lines and spaces, the lithographic pitch p is the lateral dimension of a unit pattern comprising one line and one space. If the pattern in the mandrel structures 70 is a pattern of via holes in a matrix of a contiguous mandrel structure 70 , the lithographic pitch is the lateral dimension of a unit pattern comprising at least one via hole.
  • the pattern in the mandrel structures 70 may have another periodicity in another direction.
  • overexposure or underexposure may be employed so that the width of each pattern between a neighboring pair of the mandrel structures 70 is less than one half of the lithographic pitch p.
  • the lithographic pitch p is a lithographic dimension, i.e., a dimension that may be formed by lithographic means.
  • the lithographic pitch p is the same as, or greater than, the minimum lithographic pitch that may be obtained by commercially available lithography tools. For example, if ArF lithography employing 193 nm wavelength light is used, the lithographic pitch p is the same as, or greater than 80 nm, which is the lithographic minimum pitch.
  • the mandrel material layer includes amorphous carbon or other non-photosensitive material.
  • a photoresist (not shown) can be applied over the mandrel material and is lithographically patterned into shapes including multiple parallel lines.
  • the multiple parallel lines can have the same width and the same pitch.
  • the pitch of the multiple parallel lines is a lithographic pitch, i.e., a pitch that can be printed by a single lithographic exposure employing a commercially available lithography tool and photoresist.
  • a minimum lithographic pitch is herein referred to as a critical pitch, and a pitch that is less than the critical pitch is herein referred to as a sublithographic pitch.
  • the pattern in the photoresist is transferred into the mandrel material layer to pattern the mandrel material layer into mandrel structures 70 .
  • the first OPL layer 60 can be replaced by a organic layer that has degas temperature higher than the mandrel deposition temperature.
  • OPL layer 60 can be replaced by amorphous carbon material through CVD deposition.
  • the mandrel structures 70 can have parallel sidewalls.
  • the parallel sidewalls of the mandrel structures 70 may vertically coincide with parallel sidewalls of the patterned photoresist, or may be laterally recessed inward (so that the mandrel structures 70 have lesser widths than the widths of the patterned photoresist).
  • the mandrel structures 70 have a lithographic pitch in one direction, which is a horizontal direction perpendicular to the parallel sidewalls of the mandrel structures 70 .
  • a conformal material layer 72 L is deposited on the mandrel structures 70 and the exposed top surface of the first ARC layer 62 L.
  • the conformal material layer 72 L is deposited employing a conformal deposition method such as molecular layer deposition (MLD), in which multiple reactants are alternately provided in a process chamber to deposit the conformal material layer.
  • MLD molecular layer deposition
  • the deposition of the material of the conformal material layer 72 L occurs one molecular layer at a time.
  • the dielectric material of the conformal material layer 72 L can include, but is not limited to, silicon oxide, silicon nitride, or a combination thereof.
  • the temperature of the deposition process is maintained below the decomposition temperature of the material of the mandrel structures 70 .
  • the mandrel structures 70 include a photoresist, and the conformal material layer includes silicon dioxide. Silicon oxide can be deposited at room temperature employing a molecular layer deposition process.
  • the mandrel structures 70 include amorphous carbon
  • the conformal material layer includes silicon oxide or silicon nitride. Silicon nitride can be deposited at a temperature about 400° C. employing a molecular layer deposition process.
  • any other combination of materials for the mandrel structures 70 and the conformal material layer 72 L can be employed provided that the material of the mandrel structures 70 can withstand the deposition process for the conformal material layer, that the conformal material layer 72 L can be conformally deposited on the sidewalls of the mandrel structures 70 , and that the mandrels can be removed selective to the material of the conformal material layer 72 L and the first ARC layer 62 L.
  • an anisotropic etch is performed to remove horizontal portions of the conformal material layer 72 L.
  • the vertical portions of the conformal material layer 72 L that remains on the vertical sidewalls of the mandrels constitute a set of spacer structures 72 , which include the same dielectric material as the conformal material layer 72 L.
  • the remaining disjoined portions of the conformal material layer 72 L are the set of spacer structures 72 .
  • the mandrel structures 70 can be patterned line structures having parallel vertical sidewalls.
  • Each spacer structure 72 has a same width, and laterally surrounds and contacts a mandrel structure 70 .
  • Each of said spacer structures 72 can have a same lateral width, which can be the same as the thickness of the conformal material layer 72 as deposited.
  • the pattern in the spacer structures 72 is herein referred to as a first pattern.
  • the spacer structures 72 collectively constitute a patterned structure including the first pattern.
  • the spacer structures can have a pitch that is one half of the lithographic pitch p.
  • the patterned structure can include spacer structures 72 having a sublithographic pitch.
  • Each of the spacer structures 72 can laterally contact and laterally surround one of the mandrel structures 70 .
  • the mandrel structures 70 can include a photoresist material.
  • the mandrel structures 70 can include amorphous carbon.
  • the mandrel structures 70 are removed by another etch, which can be an anisotropic etch or an isotropic etch, that is selective to the materials of the spacer structures 72 and the first ARC layer 62 L.
  • another etch which can be an anisotropic etch or an isotropic etch, that is selective to the materials of the spacer structures 72 and the first ARC layer 62 L.
  • the first pattern may include two patterned line structures within a lithographic pitch p. If the lithographic pitch is a minimum lithographic pitch that can be lithographically printed, the width of the spacer structures 72 can be a sublithographic width, i.e., a width that is less than the minimum width of a patterned structure that can be formed by single exposure and development.
  • the first exemplary structure illustrated in FIG. 4 is a lithographic structure, which includes the underlying material layer 20 L located on the substrate 10 ; at least one mask layer 45 L including at least one of a dielectric material and a metallic material and located over the underlying material layer 20 L; the first organic planarizing layer (OPL) 60 L located over the at least one mask layer 45 L; the first antireflective coating (ARC) layer 62 L located on the first OPL 60 L; and the patterned structure of the spacer structures 72 located over the first ARC layer 62 L.
  • the patterned structure has a pattern of a plurality of parallel lines, i.e., the first pattern can be a pattern of a plurality of parallel lines.
  • Each of the at least one mask layer 45 L can be a blanket layer having a same thickness throughout, i.e., an unpatterned material layer.
  • the at least one mask layer 45 L can be a stack, from bottom to top, of the dielectric mask layer 40 L and the metallic mask layer 50 L.
  • the at least one mask layer 45 L can be a stack, from bottom to top, of a metallic mask layer 50 L and a dielectric mask layer 40 L.
  • the at least one mask layer 45 L can be a single layer of a dielectric mask layer 40 L.
  • the at least one mask layer 45 L can be a single layer of a metallic mask layer 50 L.
  • the first pattern in the set of spacer structures 72 is transferred into the first ARC layer 62 L by an anisotropic etch.
  • the set of spacer structures 72 is employed as an etch mask during the transfer of the first pattern into the first ARC layer 62 L.
  • the first ARC layer 62 L becomes a patterned first ARC layer 62 , which includes a plurality of ARC portions that replicate the first pattern.
  • the first pattern is transferred into the first OPL 60 L by another anisotropic etch.
  • the anisotropic etch employs the set of spacer structures 72 and/or the patterned first ARC layer 62 as the etch mask.
  • the set of spacer structures 72 can be consumed during an initial portion of the anisotropic and consumed before the end of the anisotropic etch, and the patterned first ARC layer 62 can be employed as the etch mask during a latter portion of the anisotropic etch.
  • the set of spacer structures 72 can be employed as the etch mask layer throughout the anisotropic etch, and removed selective to the material of the first OPL 60 L after the anisotropic etch.
  • the first OPL 60 L becomes a patterned first OPL 60 by the anisotropic etch, which includes a plurality of OPL portions.
  • the patterned first OPL 60 includes the first pattern.
  • the first pattern into at least an upper portion of the at least one mask layer 45 L by an anisotropic etch.
  • the patterned first ARC layer 62 can be employed as the etch mask during the anisotropic etch.
  • the first pattern is formed in one of the at least one mask layer 45 L.
  • a patterned mask layer including the first pattern is thus formed. If the at least one mask layer 45 L includes a stack of multiple mask layers, the patterned mask layer can be a patterned layer formed from the topmost layer among the multiple mask layers. If the at least one mask layer 45 L includes a single mask layer, the patterned mask layer is a patterned layer of the single mask layer.
  • the patterned first ARC layer 62 can be consumed during the anisotropic etch, or can be removed after the anisotropic etch. If the patterned first ARC layer 62 is consumed before the anisotropic etch is completed, the patterned first OPL 60 can be employed as the etch mask during the remainder of the anisotropic etch. At least partially patterned mask layer 45 is thus formed.
  • the first pattern is transferred into the metallic mask layer 50 L.
  • the patterned mask layer is a patterned metallic mask layer 50 in this case.
  • the at least partially patterned mask layer 45 includes a stack, from bottom to top, of the dielectric mask layer 40 L and the patterned metallic mask layer 50 .
  • the at least one mask layer 45 L includes a vertical stack, from bottom to top, of a metallic mask layer 50 L and a dielectric mask layer 40 L
  • the first pattern is transferred into the dielectric mask layer 40 L.
  • the patterned mask layer is a patterned dielectric mask layer (not shown) in this case.
  • the at least partially patterned mask layer 45 includes a stack, from bottom to top, of the metallic mask layer 50 L and the patterned dielectric mask layer.
  • the first pattern is transferred into the metallic mask layer 50 L.
  • the patterned mask layer is a patterned metallic mask layer 50 in this case.
  • the at least partially patterned mask layer 45 consists of the patterned metallic mask layer 50 .
  • the first pattern is transferred into the dielectric mask layer 40 L.
  • the patterned mask layer is a patterned dielectric mask layer in this case.
  • the at least partially patterned mask layer 45 consists of the patterned dielectric mask layer.
  • the anisotropic etch can be a reactive ion etch employing a plasma of at least one fluorocarbon gas such as CF 4 , CHF 3 , and C 4 F 8 . Argon or nitrogen can also be added to the plasma.
  • the chemistry of the anisotropic etch for etching the metallic mask layer 50 L is selected to simultaneously etch the material of the metallic mask layer 50 L and the patterned first ARC layer 62 .
  • the pattern in the first OPL 60 is transferred into the metallic mask layer 50 L to form a pattern of trenches therein, and the top surface of the dielectric mask layer 40 L is exposed at the bottom of the trenches.
  • the at least one mask layer 45 L does not include any organic material and includes only non-organic material(s), line edge roughness and line width roughness in the transferred first pattern in the at least partially patterned mask layer 45 is significantly reduced relative to any process that transfers a similar pattern into an organic material layer such as an amorphous carbon layer.
  • the patterned first OPL 60 is removed selective to the patterned mask layer, e.g., the patterned metallic mask layer 50 .
  • the patterned first OPL 60 can be removed selective to the patterned metallic mask layer 50 and the dielectric mask layer 40 L, for example, by ashing.
  • a second OPL 160 L, a second ARC layer 162 L, and a first photoresist layer 170 are sequentially applied over the patterned mask layer, e.g., the patterned metallic mask layer 50 .
  • the first photoresist layer 170 is lithographically patterned with a second pattern.
  • the second pattern includes at least one blocking area, which is the area of the remaining portions of the first photoresist layer 170 after lithographic exposure and development.
  • At least one opening is formed within the first photoresist layer. The area of the at least one opening is the complement of the at least one blocking area.
  • the at least one blocking area has lithographic dimensions, i.e., dimensions that are not less than the minimum lithographic dimension.
  • the first photoresist layer 170 can include a mid-ultraviolet (MUV) photoresist material or a deep-ultraviolet (DUV) photoresist material.
  • UUV mid-ultraviolet
  • DUV deep-ultraviolet
  • the first exemplary structure illustrated in FIG. 9 is a lithographic structure that includes: the underlying material layer 20 L located on the substrate 10 ; a patterned mask layer including at least one of a dielectric material and a metallic material and located over the underlying material layer 20 L; the second OPL 160 L located over the patterned mask layer; the second ARC layer 162 L located on the second OPL 160 L; and the first photoresist layer 170 located over the second ARC layer 162 L and including at least one opening therein.
  • the patterned mask layer can be the patterned metallic mask layer 50 , and a dielectric mask layer 40 L can be present underneath the patterned metallic mask layer 50 .
  • the dielectric mask layer 40 L can be located over the underlying material layer 20 L, and the patterned mask layer includes a metallic material, and is located on a top surface of the dielectric mask layer 40 L.
  • the patterned mask layer can be a patterned dielectric mask layer (not shown), and a metallic mask layer can be present underneath the patterned dielectric mask layer.
  • the metallic mask layer can be located over the underlying material layer 20 L.
  • the patterned mask layer includes a dielectric material, and is located on a top surface of the metallic mask layer.
  • the patterned mask layer can be a patterned metallic mask layer 50 that is in direct contact with the optional dielectric material layer 30 L or the underlying material layer 20 L. In still another embodiment, the patterned mask layer can be a patterned dielectric mask layer that is in direct contact with the optional dielectric material layer 30 L or the underlying material layer 20 L.
  • the patterned mask layer such as the patterned metallic mask layer 50 can include a periodic pattern of a plurality of parallel line structures that are laterally spaced from one another, i.e., the plurality of portions of the metallic material that constitute the patterned metallic mask layer 50 .
  • the periodic pattern can have a lithographic minimum pitch. In another embodiment, the periodic pattern can have a sublithographic pitch.
  • the underlying material layer 20 L can include a conductive material layer, and the optional dielectric material layer 30 L can have a different composition than the patterned mask layer.
  • the conductive material layer can include at least one of a doped polycrystalline semiconductor material and a metal layer, and the optional dielectric material layer 30 L can include silicon nitride.
  • An overlying structure including the second pattern (e.g., the first photoresist layer 170 ) is present over the patterned mask layer, e.g., the patterned metallic mask layer 50 .
  • the second pattern includes the at least one blocking area.
  • the second pattern is transferred down to an upper portion of the second OPL 160 L.
  • the second ARC layer 162 L and an upper portion of the second OPL 160 L are etched in an anisotropic etch that employs the first photoresist layer 170 as an etch mask.
  • the first photoresist layer 170 can be consumed during the etching of the second OPL 160 L.
  • An overlying structure including the second pattern (e.g., the stack of the upper portion of the second OPL 160 L and the second ARC layer 162 L) is present over the patterned mask layer, e.g., the patterned metallic mask layer 50 .
  • the second ARC layer 162 L can be removed during the etching of the second OPL 160 L or in a separate etch step.
  • portions of the patterned mask layer can be removed selective to the material of the layer contacting the bottom surface of the patterned mask layer, e.g., the dielectric mask layer 40 L.
  • the portions of the patterned mask layer that do not underlie the blocking area are removed by etching the portions of the patterned mask layer from within an area of the at least one opening, i.e., within the area of the second region R 2 , which is the area of the complement of the second pattern.
  • the remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • the second OPL 160 L can be removed, for example, by ashing.
  • the remaining portions of the second OPL 160 L can be removed selective to the remaining portions of the patterned mask layer.
  • the patterned mask layer can include a plurality of patterned mask portions that is present over a first region R 1 of the underlying material layer 20 L, and the patterned mask layer is not present over a second region R 2 of the underlying material layer.
  • the plurality of patterned mask portions can be a periodic array of parallel line structures having a pitch that is not greater than a minimum lithographic pitch.
  • the second region R 2 can have a width that is greater than twice the pitch p (See FIG. 3 ).
  • the line edge roughness or line width roughness of the sidewalls of the at least partially patterned mask layer 45 does not increase during the removal of the second OPL 160 L.
  • a second photoresist layer can be optionally applied over the remaining portions of the patterned mask layer, e.g., the patterned metallic mask layer 50 .
  • the second photoresist layer can be applied, for example, by spin coating.
  • the second photoresist layer can be lithographically patterned by lithographic exposure and development to form at least one photoresist block portion 77 having an additional pattern, which is herein referred to as a third pattern.
  • the third pattern can be any lithographic pattern.
  • the third pattern is present in the area of the at least one photoresist block portion 77 .
  • the third pattern can be present within the area of the second region R 2 .
  • the third pattern can define regions having a lateral dimension greater than the pitch p (See FIG. 3 ).
  • a trilayer material stack including an organic planarizing layer, a silicon-containing anti-reflective coating (ARC) layer, and a photoresist layer can be employed instead of the second photoresist layer.
  • the organic planarizing layer can include the same material as the first OPL 60 or as the second OPL 160 , and can be deposited such that a planar topmost surface of the organic planarizing layer is formed above the topmost surfaces of the patterned metallic mask layer 50 .
  • the silicon-containing ARC layer can include any silicon-containing ARC material known in the art.
  • the photoresist layer can be patterned with the third pattern.
  • At least one organic planarizing material portion (and optionally at least one silicon-containing ARC material portion overlying the at least one organic planarizing material portion) can be present in the area of the at least one photoresist block portion 77 , and subsequently serve the function of the at least one photoresist block portion 77 in this embodiment.
  • a pattern derived from the composite pattern of the intersection of the first pattern and the second pattern and from the third pattern is transferred into the rest of the at least partially patterned mask layer 45 and the optional dielectric material layer 30 L.
  • the derived pattern can be a union of the third pattern and a composite pattern that is the intersection of the first pattern and the second pattern.
  • the derived pattern can be transferred into the dielectric mask layer 40 L and the optional dielectric material layer 30 L.
  • the remaining portions of the dielectric mask layer 40 L constitute a patterned dielectric mask layer 40
  • the remaining portions of the optional dielectric material layer 30 L constitute an optional patterned dielectric material layer.
  • the line edge roughness or line width roughness of the sidewalls of the at least partially patterned mask layer 45 does not increase significantly during the pattern transfer etch that transfers the derived pattern into the optional dielectric material layer 30 L.
  • the derived pattern is transferred into an upper portion of the underlying material layer 20 L.
  • the transfer of the derived pattern into the underlying material layer 20 can be effected by etching the underlying material layer 20 L employing the remaining portions of the patterned mask layer, e.g., the patterned metallic material layer 50 , and the at least one photoresist block portion 77 as an etch mask.
  • the patterned mask layer e.g., the patterned metallic mask layer 50
  • the patterned metallic mask layer 50 can be removed once the derived pattern is transferred into any layer between the patterned mask layer and the underlying material layer 20 L.
  • the patterned metallic mask layer 50 can be removed after the derived pattern is transferred into the patterned dielectric mask layer 40 and/or the optional patterned dielectric material layer 30 .
  • the patterned mask layer e.g., the patterned metallic mask layer 50
  • the removal of the patterned mask layer, e.g., the patterned metallic mask layer 50 can be performed by an etch process that removes the material of the patterned mask layer selective to physically exposed material underneath the patterned mask layer.
  • the remaining portions of the patterned mask layer, e.g., the patterned metallic mask layer 50 can be removed selective to the underlying material layer 20 L after the transfer of the derived pattern.
  • the at least one photoresist block portion 77 can be consumed during the transfer of the derived pattern, or alternately, can be removed, for example, by ashing.
  • the derived pattern is transferred to the bottom of the underlying material layer 20 L. All materials of the at least one mask layer 45 L are removed.
  • the patterned dielectric mask layer 40 is removed selective to the materials of the optional patterned dielectric material layer 30 and the patterned underlying material layer 20 .
  • a second exemplary structure according to a second embodiment of the present disclosure is derived from the first exemplary structure of FIG. 1 by altering the at least one mask layer 45 L.
  • a vertical stack, from bottom to top, of the metallic mask layer 50 L and the dielectric mask layer 40 L is employed for the at least one mask layer 45 L.
  • the metallic mask layer 50 L of the second embodiment can have the same composition and thickness as in the first embodiment, and can be formed by the same method as in the first embodiment.
  • the dielectric mask layer 40 L of the second embodiment can have the same composition and thickness as in the first embodiment, and can be formed by the same method as in the first embodiment.
  • the processing steps of FIGS. 2-6 can be performed. Subsequently, the first pattern into at least an upper portion of the at least one mask layer 45 L by an anisotropic etch.
  • the patterned first ARC layer 62 can be employed as the etch mask during the anisotropic etch.
  • the first pattern is formed in one of the at least one mask layer 45 L.
  • a patterned mask layer including the first pattern is thus formed.
  • the patterned first ARC layer 62 can be consumed during the anisotropic etch, or can be removed after the anisotropic etch. If the patterned first ARC layer 62 is consumed before the anisotropic etch is completed, the patterned first OPL 60 can be employed as the etch mask during the remainder of the anisotropic etch. At least partially patterned mask layer 45 is thus formed.
  • the first pattern is transferred into the dielectric mask layer 40 L.
  • the patterned mask layer is a patterned dielectric mask layer 40 .
  • the at least partially patterned mask layer 45 includes a stack, from bottom to top, of the metallic mask layer 50 L and the patterned dielectric mask layer 45 .
  • the chemistry of the anisotropic etch for etching the dielectric mask layer 40 L is selected to simultaneously etch the material of the dielectric mask layer 40 L and the patterned first ARC layer 62 .
  • the pattern in the first OPL 60 is transferred into the dielectric mask layer 40 L to form a pattern of trenches therein, and the top surface of the metallic mask layer 50 L is exposed at the bottom of the trenches.
  • the patterned first OPL 60 is removed selective to the patterned mask layer, e.g., the patterned dielectric mask layer 40 .
  • the patterned first OPL 60 can be removed selective to the patterned dielectric mask layer 40 and the metallic mask layer 50 L, for example, by ashing.
  • processing steps of FIGS. 9-11 are performed.
  • physically exposed portions of the patterned mask layer e.g., the patterned dielectric mask layer 40
  • the portions of the patterned mask layer that do not underlie the blocking area are removed by etching the portions of the patterned mask layer from within an area of the at least one opening, i.e., within the area of the second region R 2 , which is the area of the complement of the second pattern.
  • the remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • the second OPL 160 L can then be removed, for example, by ashing.
  • the remaining portions of the second OPL 160 L can be removed selective to the remaining portions of the patterned mask layer.
  • the patterned mask layer i.e., the patterned dielectric mask layer 40
  • the patterned mask layer can include a plurality of patterned mask portions that is present over a first region R 1 of the underlying material layer 20 L, and the patterned mask layer is not present over a second region R 2 of the underlying material layer.
  • the plurality of patterned mask portions can be a periodic array of parallel line structures having a pitch that is not greater than a minimum lithographic pitch.
  • the second region R 2 can have a width that is greater than twice the pitch p (See FIG. 3 ).
  • a pattern derived from the composite pattern of the intersection of the first pattern and the second pattern and from the third pattern is transferred into the rest of the at least partially patterned mask layer 45 and the optional dielectric material layer 30 L.
  • the derived pattern can be a union of the third pattern and a composite pattern that is the intersection of the first pattern and the second pattern.
  • the derived pattern can be transferred into the metallic mask layer 50 L and the optional dielectric material layer 30 L.
  • the remaining portions of the metallic mask layer 50 L constitute a patterned dielectric mask layer 50
  • the remaining portions of the optional dielectric material layer 30 L constitute an optional patterned dielectric material layer.
  • the derived pattern is transferred into an upper portion of the underlying material layer 20 L.
  • the transfer of the derived pattern into the underlying material layer 20 can be effected by etching the underlying material layer 20 L employing the remaining portions of the patterned mask layer, e.g., the patterned dielectric material layer 40 , and the at least one photoresist block portion 77 (See FIG. 15 ) as an etch mask.
  • the patterned mask layer e.g., the patterned dielectric mask layer 40
  • the patterned dielectric mask layer 40 can be removed once the derived pattern is transferred into any layer between the patterned mask layer and the underlying material layer 20 L.
  • the patterned dielectric mask layer 40 can be removed after the derived pattern is transferred into the patterned metallic mask layer 50 and/or the optional patterned dielectric material layer 30 .
  • the patterned mask layer e.g., the patterned dielectric mask layer 40
  • the removal of the patterned mask layer, e.g., the patterned dielectric mask layer 40 can be performed by an etch process that removes the material of the patterned mask layer selective to physically exposed material underneath the patterned mask layer.
  • the remaining portions of the patterned mask layer, e.g., the patterned dielectric mask layer 40 can be removed selective to the underlying material layer 20 L after the transfer of the derived pattern.
  • the at least one photoresist block portion 77 can be consumed during the transfer of the derived pattern, or alternately, can be removed, for example, by ashing.
  • the anisotropic etch can be continued to provide the same structure as the first exemplary structure shown in FIG. 17 .
  • a third exemplary structure according to a third embodiment of the present disclosure is derived from the first exemplary structure of FIG. 1 by altering the at least one mask layer 45 L.
  • a homogeneous mask layer 145 L including a metallic material or a dielectric material can be employed for the at least one mask layer 45 L.
  • the homogeneous mask layer 145 L can have a same composition throughout.
  • the homogeneous mask layer 145 L can have the same composition as the dielectric mask layer 40 L of the first embodiment, or as the metallic mask layer 50 L of the first embodiment.
  • the thickness of the homogeneous mask layer 145 L can be from 30 nm to 600 nm, although lesser and greater thicknesses can also be employed.
  • the homogeneous mask layer 145 L can be formed employing methods for forming the dielectric mask layer 40 L or employing methods for forming the metallic mask layer 50 L.
  • the processing steps of FIGS. 2-6 can be performed.
  • the first pattern into at least an upper portion of the homogeneous mask layer 145 L by an anisotropic etch.
  • the patterned first ARC layer 62 can be employed as the etch mask during the anisotropic etch.
  • the first pattern is formed in one of the homogeneous mask layer 145 L.
  • a patterned mask layer including the first pattern is thus formed.
  • the patterned first ARC layer 62 can be consumed during the anisotropic etch, or can be removed after the anisotropic etch.
  • the patterned first OPL 60 can be employed as the etch mask during the remainder of the anisotropic etch. A patterned homogeneous mask layer 145 is thus formed.
  • the first pattern is transferred into the homogeneous mask layer 145 L.
  • the patterned mask layer is a patterned homogeneous mask layer 145 .
  • the chemistry of the anisotropic etch for etching the dielectric mask layer 40 L is selected to simultaneously etch the material of the homogeneous mask layer 145 L and the patterned first ARC layer 62 .
  • the pattern in the first OPL 60 is transferred into the homogeneous mask layer 145 L to form a pattern of trenches therein, and the top surface of the optional dielectric material layer 30 L is exposed at the bottom of the trenches.
  • the patterned first OPL 60 is removed selective to the patterned mask layer, e.g., the patterned homogeneous mask layer 145 .
  • the patterned first OPL 60 can be removed selective to the patterned homogeneous mask layer 145 and the optional dielectric material layer 30 L, for example, by ashing.
  • processing steps of FIGS. 9-11 are performed.
  • physically exposed portions of the patterned mask layer e.g., the patterned homogeneous mask layer 145
  • the portions of the patterned mask layer that do not underlie the blocking area are removed by etching the portions of the patterned mask layer from within an area of the at least one opening, i.e., within the area of the second region R 2 , which is the area of the complement of the second pattern.
  • the remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • the second OPL 160 L can then be removed, for example, by ashing.
  • the remaining portions of the second OPL 160 L can be removed selective to the remaining portions of the patterned mask layer.
  • the patterned mask layer i.e., the patterned homogeneous mask layer 145
  • the patterned mask layer can include a plurality of patterned mask portions that is present over a first region R 1 of the underlying material layer 20 L, and the patterned mask layer is not present over a second region R 2 of the underlying material layer.
  • the plurality of patterned mask portions can be a periodic array of parallel line structures having a pitch that is not greater than a minimum lithographic pitch.
  • the second region R 2 can have a width that is greater than twice the pitch p (See FIG. 3 ).
  • the processing step of FIG. 14 is performed. Subsequently, a pattern derived from the composite pattern of the intersection of the first pattern and the second pattern and from the third pattern is transferred into the optional dielectric material layer 30 L, if present.
  • the derived pattern can be a union of the third pattern and a composite pattern that is the intersection of the first pattern and the second pattern.
  • the remaining portions of the optional dielectric material layer 30 L constitute an optional patterned dielectric material layer.
  • the derived pattern is transferred into an upper portion of the underlying material layer 20 L.
  • the transfer of the derived pattern into the underlying material layer 20 can be effected by etching the underlying material layer 20 L employing the remaining portions of the patterned mask layer, e.g., the patterned homogeneous mask layer 145 , and the at least one photoresist block portion 77 (See FIG. 15 ) as an etch mask.
  • the patterned mask layer e.g., the patterned homogeneous mask layer 145
  • the patterned mask layer can be removed once the derived pattern is transferred into any layer between the patterned mask layer and the underlying material layer 20 L.
  • the patterned homogeneous mask layer 145 can be removed after the derived pattern is transferred into the optional patterned dielectric material layer 30 .
  • the patterned mask layer e.g., patterned homogeneous mask layer 145
  • the removal of the patterned mask layer can be performed by an etch process that removes the material of the patterned mask layer selective to physically exposed material underneath the patterned mask layer.
  • the remaining portions of the patterned mask layer e.g., the patterned homogeneous mask layer 145
  • the at least one photoresist block portion 77 can be consumed during the transfer of the derived pattern, or alternately, can be removed, for example, by ashing.
  • the anisotropic etch can be continued to provide the same structure as the first exemplary structure shown in FIG. 17 .
  • a fourth exemplary structure according to a fourth embodiment of the present disclosure can be derived from the first exemplary structure of FIG. 1 by not forming the mandrel structures 70 and by applying and lithographically patterning a photoresist layer to form a patterned photoresist layer 80 including a first pattern.
  • the patterned photoresist layer 80 can include a set of photoresist material portions.
  • the fourth exemplary structure illustrated in FIG. 26 is a lithographic structure, which includes the underlying material layer 20 L located on the substrate 10 ; at least one mask layer 45 L including at least one of a dielectric material and a metallic material and located over the underlying material layer 20 L; the first organic planarizing layer (OPL) 60 L located over the at least one mask layer 45 L; the first antireflective coating (ARC) layer 62 L located on the first OPL 60 L; and the patterned structure of the patterned photoresist layer 80 located over the first ARC layer 62 L.
  • OPL organic planarizing layer
  • ARC antireflective coating
  • the patterned structure has a pattern of a plurality of parallel lines. In one embodiment, the patterned structure includes a set of photoresist material portions having a lithographic minimum pitch.
  • the processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Subsequently, processing steps of FIGS. 6-17 can be performed.
  • a fifth exemplary structure according to a fifth embodiment of the present disclosure can be derived from the second exemplary structure of FIG. 18 by not forming the mandrel structures 70 and by applying and lithographically patterning a photoresist layer to form a patterned photoresist layer 80 including a first pattern.
  • the patterned photoresist layer 80 can include a set of photoresist material portions.
  • the patterned structure has a pattern of a plurality of parallel lines. In one embodiment, the patterned structure includes a set of photoresist material portions having a lithographic minimum pitch.
  • the processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Processing steps of the second embodiment can be subsequently performed.
  • a sixth exemplary structure according to a sixth embodiment of the present disclosure can be derived from the second exemplary structure of FIG. 22 by not forming the mandrel structures 70 and by applying and lithographically patterning a photoresist layer to form a patterned photoresist layer 80 including a first pattern.
  • the patterned photoresist layer 80 can include a set of photoresist material portions.
  • the patterned structure has a pattern of a plurality of parallel lines. In one embodiment, the patterned structure includes a set of photoresist material portions having a lithographic minimum pitch.
  • the processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Processing steps of the third embodiment can be subsequently performed.
  • a seventh exemplary structure a according to a seventh embodiment of the present disclosure can be derived from any of the fourth, fifth, and sixth exemplary structures illustrated in FIGS. 26 , 27 , and 28 by applying and patterning multiple photoresist layers instead of employing a single photoresist layer.
  • a primary photoresist layer 70 A can be applied and lithographically patterned, and a secondary photoresist layer 70 B can be subsequently applied and lithographically patterned.
  • the seventh exemplary structure illustrated in FIG. 29 is a lithographic structure, which includes the underlying material layer 20 L located on the substrate 10 ; at least one mask layer 45 L including at least one of a dielectric material and a metallic material and located over the underlying material layer 20 L; the first organic planarizing layer (OPL) 60 L located over the at least one mask layer 45 L; the first antireflective coating (ARC) layer 62 L located on the first OPL 60 L; and the patterned structure of the spacer structures 72 located over the first ARC layer 62 L.
  • OPL organic planarizing layer
  • ARC antireflective coating
  • the patterned structure has a pattern of a plurality of parallel lines.
  • the patterned structure includes a set of first photoresist material portions including a first photoresist material (e.g., the primary photoresist layer 70 A) and a set of second photoresist material portions including a second photoresist material that is different from the first photoresist material (e.g., the secondary photoresist layer 70 B).
  • the processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Subsequently, processing steps of FIGS. 6-17 can be performed.
  • the various embodiments of the present disclosure enables high fidelity transfer of the derived pattern including at least the composite pattern of the first pattern and the second pattern, and optionally including an additional pattern (the third pattern). Due to the absence of any organic material within the at least one mask layer 45 L, the material(s) of the at least one mask layer 45 L is/are not prone to increase in line edge roughness or line width roughness during the transfer of the first pattern therein, or during the removal of the patterned first OPL 60 , or during the removal of the second OPL 160 L, or during the anisotropic etch that transfers the derived pattern into the underlying layers.

Abstract

At least one mask layer formed over a substrate includes at least one of a dielectric material and a metallic material. By forming a first pattern in one of the at least one mask layer, a patterned mask layer including said first pattern is formed. An overlying structure including a second pattern that includes at least one blocking area is formed over said patterned mask layer. Portions of said patterned mask layer that do not underlie said blocking area are removed. The remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern. The patterned mask layer includes a dielectric material or a metallic material, and thus, enables high fidelity pattern transfer into an underlying material layer.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 13/552,992, filed Jul. 19, 2012 the entire content and disclosure of which is incorporated herein by reference.
  • BACKGROUND
  • The present disclosure generally relates to a process for manufacturing semiconductor structures, and particularly to an image transfer process employing a hard mask layer to memorize a composite pattern, and structures for effecting the same.
  • A trilayer lithography process as known in the art employs an organic material layer such as an amorphous carbon layer in order to transfer a composite image of two independent images. The sidewalls of the organic material layer are formed with a significant level of line edge roughness and line width roughness during a pattern transfer etch that forms a pattern in the organic material layer employing an overlying layer as a patterned mask because the organic material layer is prone to lateral etching. The line edge roughness and the line width roughness of the organic material layer are further increased during a subsequent pattern transfer etch that transfers the pattern in the organic material layer into an underlying layer employing the organic material layer as an etch mask. The increased line edge roughness and line width roughness in the organic material layer is at least partly transferred into the underlying layer. Thus, the fidelity of pattern transfer is degraded due to the lateral etching of the organic material layer in the material stack employed for the trilayer lithography process.
  • BRIEF SUMMARY
  • At least one mask layer formed over a substrate includes at least one of a dielectric material and a metallic material. By forming a first pattern in one of the at least one mask layer, a patterned mask layer including said first pattern is formed. An overlying structure including a second pattern that includes at least one blocking area is formed over said patterned mask layer. Portions of said patterned mask layer that do not underlie said blocking area are removed. The remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern. The patterned mask layer includes a dielectric material or a metallic material, and thus, enables high fidelity pattern transfer into an underlying material layer.
  • According to an aspect of the present disclosure, a method of patterning a structure is provided. At least one mask layer including at least one of a dielectric material and a metallic material is formed over a substrate. A first pattern is formed in one of the at least one mask layer. A patterned mask layer including the first pattern is thus formed. An overlying structure including a second pattern over the patterned mask layer is subsequently formed. The second pattern includes at least one blocking area. Portions of the patterned mask layer that do not underlie the blocking area are removed. Remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • According to another aspect of the present disclosure, a lithographic structure is provided, which includes an underlying material layer located on a substrate, at least one mask layer including at least one of a dielectric material and a metallic material and located over the underlying material layer, an organic planarizing layer (OPL) located over the at least one mask layer, an antireflective coating (ARC) layer located on the OPL, and a patterned structure located over the ARC layer.
  • According to yet another aspect of the present disclosure, another lithographic structure is provided, which includes an underlying material layer located on a substrate, a patterned mask layer including at least one of a dielectric material and a metallic material and located over the underlying material layer, an organic planarizing layer (OPL) located over the patterned mask layer, an antireflective coating (ARC) layer located on the OPL, and a photoresist layer located over the ARC layer and including at least one opening therein.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 is a vertical cross-sectional view of a first exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a dielectric mask layer, a metallic mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a first embodiment of the present disclosure.
  • FIG. 2 is a vertical cross-sectional view of the first exemplary structure after deposition of a conformal material layer according to the first embodiment of the present disclosure.
  • FIG. 3 is a vertical cross-sectional view of the first exemplary structure after formation of a set of spacer structures according to the first embodiment of the present disclosure.
  • FIG. 4 is a vertical cross-sectional view of the first exemplary structure after removal of the mandrel structures according to the first embodiment of the present disclosure.
  • FIG. 5 is a vertical cross-sectional view of the first exemplary structure after transfer of a first pattern in the set of spacer structures into the first ARC layer according to the first embodiment of the present disclosure.
  • FIG. 6 is a vertical cross-sectional view of the first exemplary structure after transfer of the first pattern into the first OPL according to the first embodiment of the present disclosure.
  • FIG. 7 is a vertical cross-sectional view of the first exemplary structure after transfer of the first pattern into the metallic mask layer according to the first embodiment of the present disclosure.
  • FIG. 8 is a vertical cross-sectional view of the first exemplary structure after removal of the first OPL according to the first embodiment of the present disclosure.
  • FIG. 9 is a vertical cross-sectional view of the first exemplary structure after application of a second OPL, a second ARC layer, and a first photoresist layer, and lithographic patterning of the first photoresist layer with a second pattern according to the first embodiment of the present disclosure.
  • FIG. 10 is a vertical cross-sectional view of the first exemplary structure after transferring the second pattern down to an upper portion of the second OPL according to the first embodiment of the present disclosure.
  • FIG. 11 is a vertical cross-sectional view of the first exemplary structure after removal of the second ARC layer according to the first embodiment of the present disclosure.
  • FIG. 12 is a vertical cross-sectional view of the first exemplary structure after removal of physically exposed portions of the metallic mask layer according to the first embodiment of the present disclosure.
  • FIG. 13 is a vertical cross-sectional view of the first exemplary structure after removal of the second OPL according to the first embodiment of the present disclosure.
  • FIG. 14 is a vertical cross-sectional view of the first exemplary structure application of a second photoresist layer and lithographic patterning of the second photoresist layer with a third pattern according to the first embodiment of the present disclosure.
  • FIG. 15 is a vertical cross-sectional view of the first exemplary structure after transfer of a derived pattern which is a union of the third pattern and a composite pattern that is an intersection of the first pattern and the second pattern into the dielectric mask layer and the optional dielectric material layer according to the first embodiment of the present disclosure.
  • FIG. 16 is a vertical cross-sectional view of the first exemplary structure after transfer of the derived pattern into an upper portion of the underlying material layer and removal of the metallic mask layer according to the first embodiment of the present disclosure.
  • FIG. 17 is a vertical cross-sectional view of the first exemplary structure after transfer of the derived pattern to the bottom of the underlying material layer and removal of the dielectric mask layer according to the first embodiment of the present disclosure.
  • FIG. 18 is a vertical cross-sectional view of a second exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a metallic mask layer, a dielectric mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a second embodiment of the present disclosure.
  • FIG. 19 is a vertical cross-sectional view of the second exemplary structure after transfer of the first pattern into the dielectric mask layer according to the second embodiment of the present disclosure.
  • FIG. 20 is a vertical cross-sectional view of the second exemplary structure after transfer of the second pattern into the dielectric mask layer and removal of the second OPL according to the second embodiment of the present disclosure.
  • FIG. 21 is a vertical cross-sectional view of the second exemplary structure after transfer of the derived pattern into an upper portion of the underlying material layer and removal of the dielectric mask layer according to the second embodiment of the present disclosure.
  • FIG. 22 is a vertical cross-sectional view of a third exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a third embodiment of the present disclosure
  • FIG. 23 is a vertical cross-sectional view of the third exemplary structure after transfer of the first pattern into the mask layer according to the third embodiment of the present disclosure.
  • FIG. 24 is a vertical cross-sectional view of the third exemplary structure after transfer of the second pattern into the mask layer and removal of the second OPL according to the third embodiment of the present disclosure.
  • FIG. 25 is a vertical cross-sectional view of the third exemplary structure after transfer of the derived pattern into an upper portion of the underlying material according to the third embodiment of the present disclosure.
  • FIG. 26 is a vertical cross-sectional view of a fourth exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a dielectric mask layer, a metallic mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and a photoresist layer and lithographic patterning of the photoresist layer according to a fourth embodiment of the present disclosure.
  • FIG. 27 is a vertical cross-sectional view of a fifth exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a metallic mask layer, a dielectric mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and a photoresist layer and lithographic patterning of the photoresist layer according to a fifth embodiment of the present disclosure.
  • FIG. 28 is a vertical cross-sectional view of a sixth exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and a photoresist layer and lithographic patterning of the photoresist layer according to a sixth embodiment of the present disclosure.
  • FIG. 26 is a vertical cross-sectional view of a seventh exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, at least one mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and application and patterning of a primary photoresist layer, and application and patterning of a secondary photoresist layer according to a seventh embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • As stated above, the present disclosure relates to an image transfer process employing a hard mask layer to memorize a composite pattern and structures for effecting the same, which are now described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments. The drawings are not necessarily drawn to scale.
  • FIG. 1 is a vertical cross-sectional view of a first exemplary structure after deposition of an underlying material layer, and optional dielectric material layer, a dielectric mask layer, a metallic mask layer, a first organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrel structures according to a first embodiment of the present disclosure.
  • Referring to FIG. 1, a first exemplary structure according to a first embodiment of the present disclosure includes a substrate 10 and a material stack formed thereupon. The substrate 10 can include a semiconductor substrate having semiconductor devices (not shown) therein. The semiconductor devices can include, for example, field effect transistors, junction transistors, diodes, resistors, capacitors, inductors, or any other semiconductor device known in the art. The substrate 10 may, or may not, include contact-level dielectric material layers (not shown) and/or interconnect level dielectric material layers (not shown) as well as embedded contact via structures (not shown) and/or embedded wiring level metal interconnect structures. Alternately, the topmost portion of the substrate 10 can include a semiconductor material such as single crystalline silicon.
  • An underlying material layer 20L can be formed on the substrate 10. The underlying material layer 20L can be a conductive material layer, a plurality of conductive material layers, a single dielectric material layer, a plurality of dielectric material layers, or a stack of at least one dielectric material layer and a conductive material layer. For example, the underlying material layer 20L can be a stack of gate level layers, a wiring-level dielectric material layer, a contact-level dielectric material layer, a conductive material layer such as a metal layer or a doped semiconductor layer. Exemplary materials that can be included in the underlying material layer include, but are not limited to, gate dielectric materials known in the art, gate conductor materials known in the art, doped semiconductor materials, and conductive metallic materials, silicon oxide, silicon nitride, silicon oxynitride, organosilicate glass, and stacks thereof. The underlying material layer 20L can be deposited, for example, by chemical vapor deposition (CVD), spin coating, or by any other deposition method known in the art. The thickness of the underlying material layer 20L can be from 10 nm to 2,000 nm, although lesser and greater thicknesses can also be employed. In one embodiment, the underlying material layer 20L can be a stack of a gate dielectric layer and a gate conductor layer.
  • An optional dielectric material layer 30L can be optionally deposited on the top surface of the underlying material layer 20L. The optional dielectric material layer 30L can be, for example, a silicon nitride layer or a silicon oxide layer. If the optional dielectric material layer 30L includes silicon nitride or silicon oxide, the optional dielectric material layer 30L can be deposited by a chemical vapor deposition (CVD). The thickness of the optional dielectric material layer 30L can be from 30 nm to 300 nm, although lesser and greater thicknesses can also be employed. In one embodiment, the underlying material layer 20L can be a stack of gate dielectric layer and a gate conductor layer, and the optional dielectric material layer 30L can be a gate cap dielectric layer including silicon nitride or silicon oxide.
  • At least one mask layer 45L is subsequently deposited on the optional dielectric material layer 30L or the underlying material layer 20L (if an optional dielectric material layer is not present). The at least one mask layer 45L can include at least one of a dielectric material and a metallic material. The at least one mask layer 45L can be a stack, from bottom to top, of a dielectric mask layer 40L and a metallic mask layer 50L. Alternately, the at least one mask layer 45L can be a stack, from bottom to top, of a metallic mask layer 50L and a dielectric mask layer 40L. Yet alternately, the at least one mask layer 45L can be a single layer of a dielectric mask layer 40L. Still alternately, the at least one mask layer 45L can be a single layer of a metallic mask layer 50L.
  • The dielectric mask layer 40L includes a dielectric material, which can be silicon oxide, silicon nitride, silicon oxynitride, a dielectric metal oxide such as HfO2, LaO2, or TiO2, or a combination thereof. The dielectric mask layer 40L can be deposited, for example, by chemical vapor deposition (CVD), physical vapor deposition (PVD), or a combination thereof. The thickness of the dielectric mask layer 40L can be from 10 nm to 100 nm, although lesser and greater thicknesses can also be employed.
  • If the dielectric mask layer 40L includes silicon oxide, the dielectric mask layer 40L can be deposited by a chemical vapor deposition (CVD) using tetraethylorthosilicate (TEOS) as a precursor material. Silicon oxide derived from TEOS, commonly referred to as TEOS oxide, can be deposited by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD).
  • A metallic mask layer 50L is deposited on the dielectric mask layer 40L. The metallic mask layer 50L includes a conductive material. Exemplary conductive materials that can be employed for the metallic mask layer 50L include, but are not limited to, TiN, TaN, WN, TiC, TaC, WC, Ti, Ta, W, and combinations thereof. For example, the metallic mask layer 50L can be a TiN layer. The metallic mask layer 50L can be deposited, for example, by physical vapor deposition (PVD), chemical vapor deposition (CVD), or a combination thereof. The thickness of the metallic mask layer 50L can be from 10 nm to 100 nm, although lesser and greater thicknesses can also be employed.
  • A first organic planarizing layer (OPL) 60L is deposited on the top surface of the at least one mask layer 45L, which can be the top surface of the metallic mask layer 50L. The first OPL 60L includes a non-photosensitive organic polymer including carbon, hydrogen, oxygen, and optionally fluorine. For example, the first OPL 60L can include hydrocarbons and/or hydrofluorocarbons. The first OPL 60L can be formed, for example, by spin coating. The thickness of the first OPL 60L can be from 30 nm to 300 nm, although lesser and greater thicknesses can also be employed.
  • A first antireflective coating (ARC) layer 62L is deposited on the first OPL 60L. The antireflective coating (ARC) layer is herein referred to as the first antireflective coating (ARC) layer 62L. The first ARC layer 62L can include a hydrocarbon based material having a different material composition than the first OPL 60L. In one embodiment, the first ARC layer 62L comprises silicon at an atomic concentration from 1% to 50%. In another embodiment, the first ARC layer 62L comprises a refractory metal at an atomic concentration from 1% to 50%. The first ARC layer 62L controls reflectivity of the surface (i.e., the surface of the metallic mask layer 50L) over which the first OPL 60L is patterned by reducing standing waves and optical notching. The thickness of the first ARC layer 62L may be from 10 nm to 150 nm, and typically from 20 nm to 80 nm, although lesser and greater thicknesses are explicitly contemplated herein. The first ARC layer 62L can be applied, for example, by spin coating.
  • A mandrel material layer (not shown) is deposited on the first ARC layer 62L. The mandrel material layer can include a photoresist, an amorphous carbon layer, or a material that can be removed selective to the material of a conformal material layer to be subsequently deposited. The mandrel material layer is deposited as a blanket layer (unpatterned layer), for example, by chemical vapor deposition (CVD) or spin coating. The thickness of the mandrel material layer can be from 30 nm to 300 nm, although lesser and greater thicknesses can also be employed.
  • In one embodiment, the mandrel material layer is a photoresist layer that can be directly patterned by lithographic exposure and development. The mandrel material layer is patterned by lithographic means, i.e., exposure and development, to form mandrel structures 70. The lithographic pattern may be a pattern of a periodic array, or may be an irregular pattern. In one embodiment, the lithographic pattern is a pattern of a regular periodic array. The lithographic pattern may contain an array of lines and spaces, or may contain a pattern of via holes in a matrix of the mandrel material layer, or may contain a pattern of isolated structures separated from one another by a contiguous cavity that laterally surrounds each isolated structure, i.e., each mandrel structure 70. Each of the mandrel structures 70 may be separated from one another as in the case of a lithographic pattern containing an array of lines and spaces, or may be adjoined among one another as in the case of a lithographic pattern containing an array of via holes.
  • In case the pattern in the mandrel structures 70 comprises a periodic one dimensional array, the pitch of the pattern in the mandrel structures 70 is a lithographic dimension, which is herein referred to as a lithographic pitch p. If the pattern in the mandrel structures 70 is a pattern of lines and spaces, the lithographic pitch p is the lateral dimension of a unit pattern comprising one line and one space. If the pattern in the mandrel structures 70 is a pattern of via holes in a matrix of a contiguous mandrel structure 70, the lithographic pitch is the lateral dimension of a unit pattern comprising at least one via hole. In addition to having periodicity in one direction at the lithographic pitch p, the pattern in the mandrel structures 70 may have another periodicity in another direction. Optionally, overexposure or underexposure may be employed so that the width of each pattern between a neighboring pair of the mandrel structures 70 is less than one half of the lithographic pitch p.
  • The lithographic pitch p is a lithographic dimension, i.e., a dimension that may be formed by lithographic means. The lithographic pitch p is the same as, or greater than, the minimum lithographic pitch that may be obtained by commercially available lithography tools. For example, if ArF lithography employing 193 nm wavelength light is used, the lithographic pitch p is the same as, or greater than 80 nm, which is the lithographic minimum pitch.
  • In other embodiments, the mandrel material layer includes amorphous carbon or other non-photosensitive material. In such embodiments, a photoresist (not shown) can be applied over the mandrel material and is lithographically patterned into shapes including multiple parallel lines. In one embodiment, the multiple parallel lines can have the same width and the same pitch. The pitch of the multiple parallel lines is a lithographic pitch, i.e., a pitch that can be printed by a single lithographic exposure employing a commercially available lithography tool and photoresist. A minimum lithographic pitch is herein referred to as a critical pitch, and a pitch that is less than the critical pitch is herein referred to as a sublithographic pitch. The pattern in the photoresist is transferred into the mandrel material layer to pattern the mandrel material layer into mandrel structures 70. In the case amorphous carbon or even amorphous silicon is employed as the mandrel material, the first OPL layer 60 can be replaced by a organic layer that has degas temperature higher than the mandrel deposition temperature. In one embodiment, OPL layer 60 can be replaced by amorphous carbon material through CVD deposition.
  • In one embodiment, the mandrel structures 70 can have parallel sidewalls. The parallel sidewalls of the mandrel structures 70 may vertically coincide with parallel sidewalls of the patterned photoresist, or may be laterally recessed inward (so that the mandrel structures 70 have lesser widths than the widths of the patterned photoresist). In one embodiment, the mandrel structures 70 have a lithographic pitch in one direction, which is a horizontal direction perpendicular to the parallel sidewalls of the mandrel structures 70.
  • Referring to FIG. 2, a conformal material layer 72L is deposited on the mandrel structures 70 and the exposed top surface of the first ARC layer 62L. The conformal material layer 72L is deposited employing a conformal deposition method such as molecular layer deposition (MLD), in which multiple reactants are alternately provided in a process chamber to deposit the conformal material layer. In MLD, the deposition of the material of the conformal material layer 72L occurs one molecular layer at a time. The dielectric material of the conformal material layer 72L can include, but is not limited to, silicon oxide, silicon nitride, or a combination thereof. The temperature of the deposition process is maintained below the decomposition temperature of the material of the mandrel structures 70.
  • In one embodiment, the mandrel structures 70 include a photoresist, and the conformal material layer includes silicon dioxide. Silicon oxide can be deposited at room temperature employing a molecular layer deposition process.
  • In another embodiment, the mandrel structures 70 include amorphous carbon, and the conformal material layer includes silicon oxide or silicon nitride. Silicon nitride can be deposited at a temperature about 400° C. employing a molecular layer deposition process.
  • Any other combination of materials for the mandrel structures 70 and the conformal material layer 72L can be employed provided that the material of the mandrel structures 70 can withstand the deposition process for the conformal material layer, that the conformal material layer 72L can be conformally deposited on the sidewalls of the mandrel structures 70, and that the mandrels can be removed selective to the material of the conformal material layer 72L and the first ARC layer 62L.
  • Referring to FIG. 3, an anisotropic etch is performed to remove horizontal portions of the conformal material layer 72L. The vertical portions of the conformal material layer 72L that remains on the vertical sidewalls of the mandrels constitute a set of spacer structures 72, which include the same dielectric material as the conformal material layer 72L. Thus, the remaining disjoined portions of the conformal material layer 72L are the set of spacer structures 72. In one embodiment, the mandrel structures 70 can be patterned line structures having parallel vertical sidewalls. Each spacer structure 72 has a same width, and laterally surrounds and contacts a mandrel structure 70. Each of said spacer structures 72 can have a same lateral width, which can be the same as the thickness of the conformal material layer 72 as deposited.
  • The pattern in the spacer structures 72 is herein referred to as a first pattern. The spacer structures 72 collectively constitute a patterned structure including the first pattern. In one embodiment, the spacer structures can have a pitch that is one half of the lithographic pitch p. In this case, the patterned structure can include spacer structures 72 having a sublithographic pitch.
  • Each of the spacer structures 72 can laterally contact and laterally surround one of the mandrel structures 70. In one embodiment, the mandrel structures 70 can include a photoresist material. In another embodiment, the mandrel structures 70 can include amorphous carbon.
  • Referring to FIG. 4, the mandrel structures 70 are removed by another etch, which can be an anisotropic etch or an isotropic etch, that is selective to the materials of the spacer structures 72 and the first ARC layer 62L.
  • In one embodiment, the first pattern may include two patterned line structures within a lithographic pitch p. If the lithographic pitch is a minimum lithographic pitch that can be lithographically printed, the width of the spacer structures 72 can be a sublithographic width, i.e., a width that is less than the minimum width of a patterned structure that can be formed by single exposure and development.
  • The first exemplary structure illustrated in FIG. 4 is a lithographic structure, which includes the underlying material layer 20L located on the substrate 10; at least one mask layer 45L including at least one of a dielectric material and a metallic material and located over the underlying material layer 20L; the first organic planarizing layer (OPL) 60L located over the at least one mask layer 45L; the first antireflective coating (ARC) layer 62L located on the first OPL 60L; and the patterned structure of the spacer structures 72 located over the first ARC layer 62L. In one embodiment, the patterned structure has a pattern of a plurality of parallel lines, i.e., the first pattern can be a pattern of a plurality of parallel lines.
  • Each of the at least one mask layer 45L can be a blanket layer having a same thickness throughout, i.e., an unpatterned material layer. In one embodiment, the at least one mask layer 45L can be a stack, from bottom to top, of the dielectric mask layer 40L and the metallic mask layer 50L. In another embodiment, the at least one mask layer 45L can be a stack, from bottom to top, of a metallic mask layer 50L and a dielectric mask layer 40L. In yet another embodiment, the at least one mask layer 45L can be a single layer of a dielectric mask layer 40L. In still another embodiment, the at least one mask layer 45L can be a single layer of a metallic mask layer 50L.
  • Referring to FIG. 5, the first pattern in the set of spacer structures 72 is transferred into the first ARC layer 62L by an anisotropic etch. The set of spacer structures 72 is employed as an etch mask during the transfer of the first pattern into the first ARC layer 62L. The first ARC layer 62L becomes a patterned first ARC layer 62, which includes a plurality of ARC portions that replicate the first pattern.
  • Referring to FIG. 6, the first pattern is transferred into the first OPL 60L by another anisotropic etch. The anisotropic etch employs the set of spacer structures 72 and/or the patterned first ARC layer 62 as the etch mask. For example, the set of spacer structures 72 can be consumed during an initial portion of the anisotropic and consumed before the end of the anisotropic etch, and the patterned first ARC layer 62 can be employed as the etch mask during a latter portion of the anisotropic etch. Alternately, the set of spacer structures 72 can be employed as the etch mask layer throughout the anisotropic etch, and removed selective to the material of the first OPL 60L after the anisotropic etch. The first OPL 60L becomes a patterned first OPL 60 by the anisotropic etch, which includes a plurality of OPL portions. The patterned first OPL 60 includes the first pattern.
  • Referring to FIG. 7, the first pattern into at least an upper portion of the at least one mask layer 45L by an anisotropic etch. The patterned first ARC layer 62 can be employed as the etch mask during the anisotropic etch. The first pattern is formed in one of the at least one mask layer 45L. A patterned mask layer including the first pattern is thus formed. If the at least one mask layer 45L includes a stack of multiple mask layers, the patterned mask layer can be a patterned layer formed from the topmost layer among the multiple mask layers. If the at least one mask layer 45L includes a single mask layer, the patterned mask layer is a patterned layer of the single mask layer. The patterned first ARC layer 62 can be consumed during the anisotropic etch, or can be removed after the anisotropic etch. If the patterned first ARC layer 62 is consumed before the anisotropic etch is completed, the patterned first OPL 60 can be employed as the etch mask during the remainder of the anisotropic etch. At least partially patterned mask layer 45 is thus formed.
  • For example, if the at least one mask layer 45L includes a vertical stack, from bottom to top, of the dielectric mask layer 40L and the metallic mask layer 50L, the first pattern is transferred into the metallic mask layer 50L. The patterned mask layer is a patterned metallic mask layer 50 in this case. The at least partially patterned mask layer 45 includes a stack, from bottom to top, of the dielectric mask layer 40L and the patterned metallic mask layer 50.
  • If the at least one mask layer 45L includes a vertical stack, from bottom to top, of a metallic mask layer 50L and a dielectric mask layer 40L, the first pattern is transferred into the dielectric mask layer 40L. The patterned mask layer is a patterned dielectric mask layer (not shown) in this case. The at least partially patterned mask layer 45 includes a stack, from bottom to top, of the metallic mask layer 50L and the patterned dielectric mask layer.
  • If the at least one mask layer 45L includes a single metallic mask layer 50L, the first pattern is transferred into the metallic mask layer 50L. The patterned mask layer is a patterned metallic mask layer 50 in this case. The at least partially patterned mask layer 45 consists of the patterned metallic mask layer 50.
  • If the at least one mask layer 45L includes a single dielectric mask layer 40L, the first pattern is transferred into the dielectric mask layer 40L. The patterned mask layer is a patterned dielectric mask layer in this case. The at least partially patterned mask layer 45 consists of the patterned dielectric mask layer.
  • The anisotropic etch can be a reactive ion etch employing a plasma of at least one fluorocarbon gas such as CF4, CHF3, and C4F8. Argon or nitrogen can also be added to the plasma. In general, the chemistry of the anisotropic etch for etching the metallic mask layer 50L is selected to simultaneously etch the material of the metallic mask layer 50L and the patterned first ARC layer 62. Thus, the pattern in the first OPL 60 is transferred into the metallic mask layer 50L to form a pattern of trenches therein, and the top surface of the dielectric mask layer 40L is exposed at the bottom of the trenches.
  • Because the at least one mask layer 45L does not include any organic material and includes only non-organic material(s), line edge roughness and line width roughness in the transferred first pattern in the at least partially patterned mask layer 45 is significantly reduced relative to any process that transfers a similar pattern into an organic material layer such as an amorphous carbon layer.
  • Referring to FIG. 8, the patterned first OPL 60 is removed selective to the patterned mask layer, e.g., the patterned metallic mask layer 50. The patterned first OPL 60 can be removed selective to the patterned metallic mask layer 50 and the dielectric mask layer 40L, for example, by ashing.
  • Referring to FIG. 9, a second OPL 160L, a second ARC layer 162L, and a first photoresist layer 170 are sequentially applied over the patterned mask layer, e.g., the patterned metallic mask layer 50. The first photoresist layer 170 is lithographically patterned with a second pattern. The second pattern includes at least one blocking area, which is the area of the remaining portions of the first photoresist layer 170 after lithographic exposure and development. At least one opening is formed within the first photoresist layer. The area of the at least one opening is the complement of the at least one blocking area.
  • The at least one blocking area has lithographic dimensions, i.e., dimensions that are not less than the minimum lithographic dimension. In one embodiment, the first photoresist layer 170 can include a mid-ultraviolet (MUV) photoresist material or a deep-ultraviolet (DUV) photoresist material.
  • The first exemplary structure illustrated in FIG. 9 is a lithographic structure that includes: the underlying material layer 20L located on the substrate 10; a patterned mask layer including at least one of a dielectric material and a metallic material and located over the underlying material layer 20L; the second OPL 160L located over the patterned mask layer; the second ARC layer 162L located on the second OPL 160L; and the first photoresist layer 170 located over the second ARC layer 162L and including at least one opening therein.
  • In one embodiment, the patterned mask layer can be the patterned metallic mask layer 50, and a dielectric mask layer 40L can be present underneath the patterned metallic mask layer 50. The dielectric mask layer 40L can be located over the underlying material layer 20L, and the patterned mask layer includes a metallic material, and is located on a top surface of the dielectric mask layer 40L.
  • In another embodiment, the patterned mask layer can be a patterned dielectric mask layer (not shown), and a metallic mask layer can be present underneath the patterned dielectric mask layer. The metallic mask layer can be located over the underlying material layer 20L. The patterned mask layer includes a dielectric material, and is located on a top surface of the metallic mask layer.
  • In yet another embodiment, the patterned mask layer can be a patterned metallic mask layer 50 that is in direct contact with the optional dielectric material layer 30L or the underlying material layer 20L. In still another embodiment, the patterned mask layer can be a patterned dielectric mask layer that is in direct contact with the optional dielectric material layer 30L or the underlying material layer 20L.
  • In one embodiment, the patterned mask layer such as the patterned metallic mask layer 50 can include a periodic pattern of a plurality of parallel line structures that are laterally spaced from one another, i.e., the plurality of portions of the metallic material that constitute the patterned metallic mask layer 50. In one embodiment, the periodic pattern can have a lithographic minimum pitch. In another embodiment, the periodic pattern can have a sublithographic pitch.
  • In one embodiment, the underlying material layer 20L can include a conductive material layer, and the optional dielectric material layer 30L can have a different composition than the patterned mask layer. The conductive material layer can include at least one of a doped polycrystalline semiconductor material and a metal layer, and the optional dielectric material layer 30L can include silicon nitride.
  • An overlying structure including the second pattern (e.g., the first photoresist layer 170) is present over the patterned mask layer, e.g., the patterned metallic mask layer 50. The second pattern includes the at least one blocking area.
  • Referring to FIG. 10, the second pattern is transferred down to an upper portion of the second OPL 160L. The second ARC layer 162L and an upper portion of the second OPL 160L are etched in an anisotropic etch that employs the first photoresist layer 170 as an etch mask. The first photoresist layer 170 can be consumed during the etching of the second OPL 160L.
  • An overlying structure including the second pattern (e.g., the stack of the upper portion of the second OPL 160L and the second ARC layer 162L) is present over the patterned mask layer, e.g., the patterned metallic mask layer 50.
  • Referring to FIG. 11, the second ARC layer 162L can be removed during the etching of the second OPL 160L or in a separate etch step.
  • Referring to FIG. 12, physically exposed portions of the patterned mask layer, e.g., the patterned metallic mask layer 50, can be removed selective to the material of the layer contacting the bottom surface of the patterned mask layer, e.g., the dielectric mask layer 40L. The portions of the patterned mask layer that do not underlie the blocking area are removed by etching the portions of the patterned mask layer from within an area of the at least one opening, i.e., within the area of the second region R2, which is the area of the complement of the second pattern. The remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • Referring to FIG. 13, the second OPL 160L can be removed, for example, by ashing. The remaining portions of the second OPL 160L can be removed selective to the remaining portions of the patterned mask layer.
  • The patterned mask layer can include a plurality of patterned mask portions that is present over a first region R1 of the underlying material layer 20L, and the patterned mask layer is not present over a second region R2 of the underlying material layer. The plurality of patterned mask portions can be a periodic array of parallel line structures having a pitch that is not greater than a minimum lithographic pitch. In one embodiment, the second region R2 can have a width that is greater than twice the pitch p (See FIG. 3).
  • Because the at least partially patterned mask layer 45 does not include any organic material and includes only non-organic material(s), the line edge roughness or line width roughness of the sidewalls of the at least partially patterned mask layer 45 does not increase during the removal of the second OPL 160L.
  • Referring to FIG. 14, a second photoresist layer can be optionally applied over the remaining portions of the patterned mask layer, e.g., the patterned metallic mask layer 50. The second photoresist layer can be applied, for example, by spin coating. The second photoresist layer can be lithographically patterned by lithographic exposure and development to form at least one photoresist block portion 77 having an additional pattern, which is herein referred to as a third pattern.
  • The third pattern can be any lithographic pattern. The third pattern is present in the area of the at least one photoresist block portion 77. In one embodiment, the third pattern can be present within the area of the second region R2. In one embodiment, the third pattern can define regions having a lateral dimension greater than the pitch p (See FIG. 3).
  • In one embodiment, a trilayer material stack including an organic planarizing layer, a silicon-containing anti-reflective coating (ARC) layer, and a photoresist layer can be employed instead of the second photoresist layer. The organic planarizing layer can include the same material as the first OPL 60 or as the second OPL 160, and can be deposited such that a planar topmost surface of the organic planarizing layer is formed above the topmost surfaces of the patterned metallic mask layer 50. The silicon-containing ARC layer can include any silicon-containing ARC material known in the art. The photoresist layer can be patterned with the third pattern. After lithographic exposure and development of the photoresist layer, physically exposed portions of the silicon-containing ARC layer can be removed by an etch employing the remaining portions of the photoresist layer as an etch mask. Subsequently, physically exposed portions of the organic planarizing layer are removed by another etch, which can employ remaining portions of the photoresist layer and/or the silicon-containing ARC layer as an etch mask. At least one organic planarizing material portion (and optionally at least one silicon-containing ARC material portion overlying the at least one organic planarizing material portion) can be present in the area of the at least one photoresist block portion 77, and subsequently serve the function of the at least one photoresist block portion 77 in this embodiment.
  • Referring to FIG. 15, a pattern derived from the composite pattern of the intersection of the first pattern and the second pattern and from the third pattern is transferred into the rest of the at least partially patterned mask layer 45 and the optional dielectric material layer 30L. The derived pattern can be a union of the third pattern and a composite pattern that is the intersection of the first pattern and the second pattern. For example, the derived pattern can be transferred into the dielectric mask layer 40L and the optional dielectric material layer 30L. The remaining portions of the dielectric mask layer 40L constitute a patterned dielectric mask layer 40, and the remaining portions of the optional dielectric material layer 30L constitute an optional patterned dielectric material layer.
  • Because the at least partially patterned mask layer 45 does not include any organic material and includes only non-organic material(s), the line edge roughness or line width roughness of the sidewalls of the at least partially patterned mask layer 45 does not increase significantly during the pattern transfer etch that transfers the derived pattern into the optional dielectric material layer 30L.
  • Referring to FIG. 16, the derived pattern is transferred into an upper portion of the underlying material layer 20L. The transfer of the derived pattern into the underlying material layer 20 can be effected by etching the underlying material layer 20L employing the remaining portions of the patterned mask layer, e.g., the patterned metallic material layer 50, and the at least one photoresist block portion 77 as an etch mask.
  • Optionally, the patterned mask layer, e.g., the patterned metallic mask layer 50, can be removed once the derived pattern is transferred into any layer between the patterned mask layer and the underlying material layer 20L. For example, the patterned metallic mask layer 50 can be removed after the derived pattern is transferred into the patterned dielectric mask layer 40 and/or the optional patterned dielectric material layer 30.
  • In one embodiment, the patterned mask layer, e.g., the patterned metallic mask layer 50, can be consumed during the anisotropic etch that transfers the derived pattern into the dielectric mask layer 40L, the optional dielectric material layer 30L, and/or the underlying material layer 20L. In another embodiment, the removal of the patterned mask layer, e.g., the patterned metallic mask layer 50, can be performed by an etch process that removes the material of the patterned mask layer selective to physically exposed material underneath the patterned mask layer. In one embodiment, the remaining portions of the patterned mask layer, e.g., the patterned metallic mask layer 50, can be removed selective to the underlying material layer 20L after the transfer of the derived pattern. The at least one photoresist block portion 77 can be consumed during the transfer of the derived pattern, or alternately, can be removed, for example, by ashing.
  • Referring to FIG. 17, the derived pattern is transferred to the bottom of the underlying material layer 20L. All materials of the at least one mask layer 45L are removed. For example, the patterned dielectric mask layer 40 is removed selective to the materials of the optional patterned dielectric material layer 30 and the patterned underlying material layer 20.
  • Referring to FIG. 18, a second exemplary structure according to a second embodiment of the present disclosure is derived from the first exemplary structure of FIG. 1 by altering the at least one mask layer 45L. Specifically, a vertical stack, from bottom to top, of the metallic mask layer 50L and the dielectric mask layer 40L is employed for the at least one mask layer 45L. The metallic mask layer 50L of the second embodiment can have the same composition and thickness as in the first embodiment, and can be formed by the same method as in the first embodiment. The dielectric mask layer 40L of the second embodiment can have the same composition and thickness as in the first embodiment, and can be formed by the same method as in the first embodiment.
  • Referring to FIG. 19, the processing steps of FIGS. 2-6 can be performed. Subsequently, the first pattern into at least an upper portion of the at least one mask layer 45L by an anisotropic etch. The patterned first ARC layer 62 can be employed as the etch mask during the anisotropic etch. The first pattern is formed in one of the at least one mask layer 45L. A patterned mask layer including the first pattern is thus formed. The patterned first ARC layer 62 can be consumed during the anisotropic etch, or can be removed after the anisotropic etch. If the patterned first ARC layer 62 is consumed before the anisotropic etch is completed, the patterned first OPL 60 can be employed as the etch mask during the remainder of the anisotropic etch. At least partially patterned mask layer 45 is thus formed.
  • In this embodiment, the first pattern is transferred into the dielectric mask layer 40L. The patterned mask layer is a patterned dielectric mask layer 40. The at least partially patterned mask layer 45 includes a stack, from bottom to top, of the metallic mask layer 50L and the patterned dielectric mask layer 45.
  • The chemistry of the anisotropic etch for etching the dielectric mask layer 40L is selected to simultaneously etch the material of the dielectric mask layer 40L and the patterned first ARC layer 62. Thus, the pattern in the first OPL 60 is transferred into the dielectric mask layer 40L to form a pattern of trenches therein, and the top surface of the metallic mask layer 50L is exposed at the bottom of the trenches.
  • Subsequently, the patterned first OPL 60 is removed selective to the patterned mask layer, e.g., the patterned dielectric mask layer 40. The patterned first OPL 60 can be removed selective to the patterned dielectric mask layer 40 and the metallic mask layer 50L, for example, by ashing.
  • Referring to FIG. 20, processing steps of FIGS. 9-11 are performed. Subsequently, physically exposed portions of the patterned mask layer, e.g., the patterned dielectric mask layer 40, can be removed selective to the material of the layer contacting the bottom surface of the patterned mask layer, e.g., the metallic mask layer 50L. The portions of the patterned mask layer that do not underlie the blocking area are removed by etching the portions of the patterned mask layer from within an area of the at least one opening, i.e., within the area of the second region R2, which is the area of the complement of the second pattern. The remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • The second OPL 160L can then be removed, for example, by ashing. The remaining portions of the second OPL 160L can be removed selective to the remaining portions of the patterned mask layer.
  • The patterned mask layer, i.e., the patterned dielectric mask layer 40, can include a plurality of patterned mask portions that is present over a first region R1 of the underlying material layer 20L, and the patterned mask layer is not present over a second region R2 of the underlying material layer. The plurality of patterned mask portions can be a periodic array of parallel line structures having a pitch that is not greater than a minimum lithographic pitch. In one embodiment, the second region R2 can have a width that is greater than twice the pitch p (See FIG. 3).
  • Referring to FIG. 21, the processing step of FIG. 14 is performed. Subsequently, a pattern derived from the composite pattern of the intersection of the first pattern and the second pattern and from the third pattern is transferred into the rest of the at least partially patterned mask layer 45 and the optional dielectric material layer 30L. The derived pattern can be a union of the third pattern and a composite pattern that is the intersection of the first pattern and the second pattern. For example, the derived pattern can be transferred into the metallic mask layer 50L and the optional dielectric material layer 30L. The remaining portions of the metallic mask layer 50L constitute a patterned dielectric mask layer 50, and the remaining portions of the optional dielectric material layer 30L constitute an optional patterned dielectric material layer.
  • Subsequently, the derived pattern is transferred into an upper portion of the underlying material layer 20L. The transfer of the derived pattern into the underlying material layer 20 can be effected by etching the underlying material layer 20L employing the remaining portions of the patterned mask layer, e.g., the patterned dielectric material layer 40, and the at least one photoresist block portion 77 (See FIG. 15) as an etch mask.
  • Optionally, the patterned mask layer, e.g., the patterned dielectric mask layer 40, can be removed once the derived pattern is transferred into any layer between the patterned mask layer and the underlying material layer 20L. For example, the patterned dielectric mask layer 40 can be removed after the derived pattern is transferred into the patterned metallic mask layer 50 and/or the optional patterned dielectric material layer 30.
  • In one embodiment, the patterned mask layer, e.g., the patterned dielectric mask layer 40, can be consumed during the anisotropic etch that transfers the derived pattern into the metallic mask layer 50L, the optional dielectric material layer 30L, and/or the underlying material layer 20L. In another embodiment, the removal of the patterned mask layer, e.g., the patterned dielectric mask layer 40, can be performed by an etch process that removes the material of the patterned mask layer selective to physically exposed material underneath the patterned mask layer. In one embodiment, the remaining portions of the patterned mask layer, e.g., the patterned dielectric mask layer 40, can be removed selective to the underlying material layer 20L after the transfer of the derived pattern. The at least one photoresist block portion 77 can be consumed during the transfer of the derived pattern, or alternately, can be removed, for example, by ashing.
  • The anisotropic etch can be continued to provide the same structure as the first exemplary structure shown in FIG. 17.
  • Referring to FIG. 22, a third exemplary structure according to a third embodiment of the present disclosure is derived from the first exemplary structure of FIG. 1 by altering the at least one mask layer 45L. Specifically, a homogeneous mask layer 145L including a metallic material or a dielectric material can be employed for the at least one mask layer 45L. The homogeneous mask layer 145L can have a same composition throughout. The homogeneous mask layer 145L can have the same composition as the dielectric mask layer 40L of the first embodiment, or as the metallic mask layer 50L of the first embodiment. The thickness of the homogeneous mask layer 145L can be from 30 nm to 600 nm, although lesser and greater thicknesses can also be employed. The homogeneous mask layer 145L can be formed employing methods for forming the dielectric mask layer 40L or employing methods for forming the metallic mask layer 50L.
  • Referring to FIG. 23, the processing steps of FIGS. 2-6 can be performed. Subsequently, the first pattern into at least an upper portion of the homogeneous mask layer 145L by an anisotropic etch. The patterned first ARC layer 62 can be employed as the etch mask during the anisotropic etch. The first pattern is formed in one of the homogeneous mask layer 145L. A patterned mask layer including the first pattern is thus formed. The patterned first ARC layer 62 can be consumed during the anisotropic etch, or can be removed after the anisotropic etch. If the patterned first ARC layer 62 is consumed before the anisotropic etch is completed, the patterned first OPL 60 can be employed as the etch mask during the remainder of the anisotropic etch. A patterned homogeneous mask layer 145 is thus formed.
  • In this embodiment, the first pattern is transferred into the homogeneous mask layer 145L. The patterned mask layer is a patterned homogeneous mask layer 145.
  • The chemistry of the anisotropic etch for etching the dielectric mask layer 40L is selected to simultaneously etch the material of the homogeneous mask layer 145L and the patterned first ARC layer 62. Thus, the pattern in the first OPL 60 is transferred into the homogeneous mask layer 145L to form a pattern of trenches therein, and the top surface of the optional dielectric material layer 30L is exposed at the bottom of the trenches.
  • Subsequently, the patterned first OPL 60 is removed selective to the patterned mask layer, e.g., the patterned homogeneous mask layer 145. The patterned first OPL 60 can be removed selective to the patterned homogeneous mask layer 145 and the optional dielectric material layer 30L, for example, by ashing.
  • Referring to FIG. 24, processing steps of FIGS. 9-11 are performed. Subsequently, physically exposed portions of the patterned mask layer, e.g., the patterned homogeneous mask layer 145, can be removed selective to the material of the layer contacting the bottom surface of the patterned mask layer, e.g., the optional dielectric material layer 30L or the underlying material layer 20L. The portions of the patterned mask layer that do not underlie the blocking area are removed by etching the portions of the patterned mask layer from within an area of the at least one opening, i.e., within the area of the second region R2, which is the area of the complement of the second pattern. The remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern.
  • The second OPL 160L can then be removed, for example, by ashing. The remaining portions of the second OPL 160L can be removed selective to the remaining portions of the patterned mask layer.
  • The patterned mask layer, i.e., the patterned homogeneous mask layer 145, can include a plurality of patterned mask portions that is present over a first region R1 of the underlying material layer 20L, and the patterned mask layer is not present over a second region R2 of the underlying material layer. The plurality of patterned mask portions can be a periodic array of parallel line structures having a pitch that is not greater than a minimum lithographic pitch. In one embodiment, the second region R2 can have a width that is greater than twice the pitch p (See FIG. 3).
  • Referring to FIG. 25, the processing step of FIG. 14 is performed. Subsequently, a pattern derived from the composite pattern of the intersection of the first pattern and the second pattern and from the third pattern is transferred into the optional dielectric material layer 30L, if present. The derived pattern can be a union of the third pattern and a composite pattern that is the intersection of the first pattern and the second pattern. The remaining portions of the optional dielectric material layer 30L constitute an optional patterned dielectric material layer.
  • Subsequently, the derived pattern is transferred into an upper portion of the underlying material layer 20L. The transfer of the derived pattern into the underlying material layer 20 can be effected by etching the underlying material layer 20L employing the remaining portions of the patterned mask layer, e.g., the patterned homogeneous mask layer 145, and the at least one photoresist block portion 77 (See FIG. 15) as an etch mask.
  • Optionally, the patterned mask layer, e.g., the patterned homogeneous mask layer 145, can be removed once the derived pattern is transferred into any layer between the patterned mask layer and the underlying material layer 20L. For example, the patterned homogeneous mask layer 145 can be removed after the derived pattern is transferred into the optional patterned dielectric material layer 30.
  • In one embodiment, the patterned mask layer, e.g., patterned homogeneous mask layer 145, can be consumed during the anisotropic etch that transfers the derived pattern into the optional dielectric material layer 30L and/or the underlying material layer 20L. In another embodiment, the removal of the patterned mask layer, e.g., the patterned homogeneous mask layer 145, can be performed by an etch process that removes the material of the patterned mask layer selective to physically exposed material underneath the patterned mask layer. In one embodiment, the remaining portions of the patterned mask layer, e.g., the patterned homogeneous mask layer 145, can be removed selective to the underlying material layer 20L after the transfer of the derived pattern. The at least one photoresist block portion 77 can be consumed during the transfer of the derived pattern, or alternately, can be removed, for example, by ashing.
  • The anisotropic etch can be continued to provide the same structure as the first exemplary structure shown in FIG. 17.
  • Referring to FIG. 26, a fourth exemplary structure according to a fourth embodiment of the present disclosure can be derived from the first exemplary structure of FIG. 1 by not forming the mandrel structures 70 and by applying and lithographically patterning a photoresist layer to form a patterned photoresist layer 80 including a first pattern. The patterned photoresist layer 80 can include a set of photoresist material portions.
  • The fourth exemplary structure illustrated in FIG. 26 is a lithographic structure, which includes the underlying material layer 20L located on the substrate 10; at least one mask layer 45L including at least one of a dielectric material and a metallic material and located over the underlying material layer 20L; the first organic planarizing layer (OPL) 60L located over the at least one mask layer 45L; the first antireflective coating (ARC) layer 62L located on the first OPL 60L; and the patterned structure of the patterned photoresist layer 80 located over the first ARC layer 62L.
  • In one embodiment, the patterned structure has a pattern of a plurality of parallel lines. In one embodiment, the patterned structure includes a set of photoresist material portions having a lithographic minimum pitch. The processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Subsequently, processing steps of FIGS. 6-17 can be performed.
  • Referring to FIG. 27, a fifth exemplary structure according to a fifth embodiment of the present disclosure can be derived from the second exemplary structure of FIG. 18 by not forming the mandrel structures 70 and by applying and lithographically patterning a photoresist layer to form a patterned photoresist layer 80 including a first pattern. The patterned photoresist layer 80 can include a set of photoresist material portions.
  • In one embodiment, the patterned structure has a pattern of a plurality of parallel lines. In one embodiment, the patterned structure includes a set of photoresist material portions having a lithographic minimum pitch. The processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Processing steps of the second embodiment can be subsequently performed.
  • Referring to FIG. 28, a sixth exemplary structure according to a sixth embodiment of the present disclosure can be derived from the second exemplary structure of FIG. 22 by not forming the mandrel structures 70 and by applying and lithographically patterning a photoresist layer to form a patterned photoresist layer 80 including a first pattern. The patterned photoresist layer 80 can include a set of photoresist material portions.
  • In one embodiment, the patterned structure has a pattern of a plurality of parallel lines. In one embodiment, the patterned structure includes a set of photoresist material portions having a lithographic minimum pitch. The processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Processing steps of the third embodiment can be subsequently performed.
  • Referring to FIG. 29, a seventh exemplary structure a according to a seventh embodiment of the present disclosure can be derived from any of the fourth, fifth, and sixth exemplary structures illustrated in FIGS. 26, 27, and 28 by applying and patterning multiple photoresist layers instead of employing a single photoresist layer. For example, a primary photoresist layer 70A can be applied and lithographically patterned, and a secondary photoresist layer 70B can be subsequently applied and lithographically patterned.
  • The seventh exemplary structure illustrated in FIG. 29 is a lithographic structure, which includes the underlying material layer 20L located on the substrate 10; at least one mask layer 45L including at least one of a dielectric material and a metallic material and located over the underlying material layer 20L; the first organic planarizing layer (OPL) 60L located over the at least one mask layer 45L; the first antireflective coating (ARC) layer 62L located on the first OPL 60L; and the patterned structure of the spacer structures 72 located over the first ARC layer 62L.
  • In one embodiment, the patterned structure has a pattern of a plurality of parallel lines. In one embodiment, the patterned structure includes a set of first photoresist material portions including a first photoresist material (e.g., the primary photoresist layer 70A) and a set of second photoresist material portions including a second photoresist material that is different from the first photoresist material (e.g., the secondary photoresist layer 70B). The processing step of FIG. 5 can be subsequently performed employing the patterned photoresist layer 80 as an etch mask. Subsequently, processing steps of FIGS. 6-17 can be performed.
  • The various embodiments of the present disclosure enables high fidelity transfer of the derived pattern including at least the composite pattern of the first pattern and the second pattern, and optionally including an additional pattern (the third pattern). Due to the absence of any organic material within the at least one mask layer 45L, the material(s) of the at least one mask layer 45L is/are not prone to increase in line edge roughness or line width roughness during the transfer of the first pattern therein, or during the removal of the patterned first OPL 60, or during the removal of the second OPL 160L, or during the anisotropic etch that transfers the derived pattern into the underlying layers.
  • While the present disclosure has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present disclosure. It is therefore intended that the present disclosure not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (25)

What is claimed is:
1. A lithographic structure comprising:
an underlying material layer located on a substrate;
at least one mask layer comprising at least one of a dielectric material and a metallic material and located over said underlying material layer;
an organic planarizing layer (OPL) located over said at least one mask layer;
an antireflective coating (ARC) layer located on said OPL; and
a patterned structure located over said ARC layer.
2. The lithographic structure of claim 1, wherein said patterned structure has a pattern of a plurality of parallel lines.
3. The lithographic structure of claim 1, wherein said patterned structure comprises a set of photoresist material portions having a lithographic minimum pitch.
4. The lithographic structure of claim 1, wherein said patterned structure comprises spacer structures having a sublithographic pitch.
5. The lithographic structure of claim 4, wherein each of said spacer structures has a same lateral width.
6. The lithographic structure of claim 4, further comprising mandrel structures, wherein each of said spacer structures laterally contacts and laterally surrounds one of said mandrel structures.
7. The lithographic structure of claim 6, said mandrel structures comprises a photoresist material.
8. The lithographic structure of claim 6, said mandrel structures comprises amorphous carbon.
9. The lithographic structure of claim 1, wherein said patterned structure comprises a set of first photoresist material portions comprising a first photoresist material and a set of second photoresist material portions comprising a second photoresist material that is different from said first photoresist material.
10. The lithographic structure of claim 1, wherein said at lest one mask layer comprises a stack, from bottom to top, of a dielectric mask layer and a metallic mask layer.
11. The lithographic structure of claim 1, wherein said at least one mask layer comprises a stack, from bottom to top, of a metallic mask layer and a dielectric material layer.
12. The lithographic structure of claim 1, wherein said at least one mask layer consists of a dielectric mask layer.
13. The lithographic structure of claim 1, wherein said at least one mask layer consists of a metallic mask layer.
14. The lithographic structure of claim 1, wherein each of said at least one mask layer is a blanket layer having a same thickness throughout.
15. A lithographic structure comprising:
an underlying material layer located on a substrate;
a patterned mask layer comprising at least one of a dielectric material and a metallic material and located over said underlying material layer;
an organic planarizing layer (OPL) located over said patterned mask layer;
an antireflective coating (ARC) layer located on said OPL; and
a photoresist layer located over said ARC layer and including at least one opening therein.
16. The lithographic structure of claim 15, wherein said patterned mask layer includes a periodic pattern of a plurality of parallel line structures that are laterally spaced from one another.
17. The lithographic structure of claim 16, wherein said periodic pattern has a lithographic minimum pitch.
18. The lithographic structure of claim 16, wherein said periodic pattern has a sublithographic pitch.
19. The lithographic structure of claim 16, further comprising a dielectric mask layer located over said underlying material layer, wherein said patterned mask layer comprises a metallic material and is located on a top surface of said dielectric mask layer.
20. The lithographic structure of claim 16, further comprising a metallic mask layer located over said underlying material layer, wherein said patterned mask layer comprises a dielectric material and is located on a top surface of said metallic mask layer.
21. The lithographic structure of claim 16, wherein said underlying material layer is a conductive material layer, and said lithographic structure further comprises a dielectric material layer having a different composition than said patterned mask layer and located on a top surface of said underlying material layer and contacting a bottom surface of said patterned mask layer.
22. The lithographic structure of claim 21, wherein said conductive material layer comprises at least one of a doped polycrystalline semiconductor material and a metal layer, and said dielectric material layer comprises silicon nitride.
23. The lithographic structure of claim 16, wherein said patterned mask layer comprises a plurality of patterned mask portions that is present over a first region of said underlying material layer, and said patterned mask layer is not present over a second region of said underlying material layer.
24. The lithographic structure of claim 23, wherein said plurality of patterned mask portions is a periodic array of parallel line structures having a pitch that is not greater than a minimum lithographic pitch.
25. The lithographic structure of claim 24, wherein said second region has a width that is greater than twice said pitch.
US13/571,496 2012-07-19 2012-08-10 Image transfer process employing a hard mask layer Abandoned US20140023834A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/571,496 US20140023834A1 (en) 2012-07-19 2012-08-10 Image transfer process employing a hard mask layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/552,992 US8637406B1 (en) 2012-07-19 2012-07-19 Image transfer process employing a hard mask layer
US13/571,496 US20140023834A1 (en) 2012-07-19 2012-08-10 Image transfer process employing a hard mask layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/552,992 Continuation US8637406B1 (en) 2012-07-19 2012-07-19 Image transfer process employing a hard mask layer

Publications (1)

Publication Number Publication Date
US20140023834A1 true US20140023834A1 (en) 2014-01-23

Family

ID=49946773

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/552,992 Expired - Fee Related US8637406B1 (en) 2012-07-19 2012-07-19 Image transfer process employing a hard mask layer
US13/571,496 Abandoned US20140023834A1 (en) 2012-07-19 2012-08-10 Image transfer process employing a hard mask layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/552,992 Expired - Fee Related US8637406B1 (en) 2012-07-19 2012-07-19 Image transfer process employing a hard mask layer

Country Status (1)

Country Link
US (2) US8637406B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180323078A1 (en) * 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
CN112053946A (en) * 2019-06-06 2020-12-08 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8975189B2 (en) * 2012-09-14 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fine patterns
US9136168B2 (en) 2013-06-28 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive line patterning
US9105478B2 (en) * 2013-10-28 2015-08-11 Globalfoundries Inc. Devices and methods of forming fins at tight fin pitches
US9136162B2 (en) 2013-12-05 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using horn shaped spacer
KR102192350B1 (en) * 2014-08-05 2020-12-18 삼성전자주식회사 Method for forming fine patterns of semiconductor devices and method for forming semiconductor devices using the same
US9548243B1 (en) * 2015-06-30 2017-01-17 International Business Machines Corporation Self aligned via and pillar cut for at least a self aligned double pitch
US9536744B1 (en) * 2015-12-17 2017-01-03 International Business Machines Corporation Enabling large feature alignment marks with sidewall image transfer patterning
US9786554B1 (en) * 2016-06-08 2017-10-10 International Business Machines Corporation Self aligned conductive lines
US9773700B1 (en) * 2016-06-08 2017-09-26 International Business Machines Corporation Aligning conductive vias with trenches
KR102436634B1 (en) * 2016-06-27 2022-08-25 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10002786B1 (en) * 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US10559492B2 (en) 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
KR102460795B1 (en) * 2018-05-09 2022-10-28 도쿄엘렉트론가부시키가이샤 Methods and systems for patterning low aspect ratio stacks
CN111640658B (en) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US11220742B2 (en) * 2019-03-22 2022-01-11 International Business Machines Corporation Low temperature lift-off patterning for glassy carbon films
US11139205B2 (en) * 2019-08-02 2021-10-05 Applied Materials, Inc. Self-aligned subtractive interconnect patterning
US20220189771A1 (en) * 2020-12-10 2022-06-16 Applied Materials, Inc. Underlayer film for semiconductor device formation

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110124134A1 (en) * 2009-11-25 2011-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. End-cut first approach for critical dimension control
US7960096B2 (en) * 2008-02-11 2011-06-14 International Business Machines Corporation Sublithographic patterning method incorporating a self-aligned single mask process
US20120276735A1 (en) * 2011-04-29 2012-11-01 Renesas Electronics Corporation Method of forming a semiconductor device
US8334211B2 (en) * 2006-04-25 2012-12-18 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7892982B2 (en) * 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7699996B2 (en) 2007-02-28 2010-04-20 International Business Machines Corporation Sidewall image transfer processes for forming multiple line-widths
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US7737039B2 (en) * 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US8053317B2 (en) 2009-08-15 2011-11-08 International Business Machines Corporation Method and structure for improving uniformity of passive devices in metal gate technology

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334211B2 (en) * 2006-04-25 2012-12-18 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7960096B2 (en) * 2008-02-11 2011-06-14 International Business Machines Corporation Sublithographic patterning method incorporating a self-aligned single mask process
US20110124134A1 (en) * 2009-11-25 2011-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. End-cut first approach for critical dimension control
US20120276735A1 (en) * 2011-04-29 2012-11-01 Renesas Electronics Corporation Method of forming a semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180323078A1 (en) * 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
CN112053946A (en) * 2019-06-06 2020-12-08 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same

Also Published As

Publication number Publication date
US8637406B1 (en) 2014-01-28
US20140024219A1 (en) 2014-01-23

Similar Documents

Publication Publication Date Title
US8637406B1 (en) Image transfer process employing a hard mask layer
US8298954B1 (en) Sidewall image transfer process employing a cap material layer for a metal nitride layer
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
US8916337B2 (en) Dual hard mask lithography process
TWI471903B (en) Frequency doubling using spacer mask
US8728945B2 (en) Method for patterning sublithographic features
US7919414B2 (en) Method for forming fine patterns in semiconductor device
TWI384529B (en) Etch process for cd reduction of arc material
US11676821B2 (en) Self-aligned double patterning
US8697340B2 (en) Semiconductor structure and method of fabricating the same
JP2009071306A (en) Method for forming micropattern in semiconductor device
US8304175B2 (en) Patterning method
KR20120055384A (en) Method of forming patterns for semiconductor device
US20050280035A1 (en) Semiconductor device and method for fabricating the same
JP2008166750A (en) Manufacturing method of semiconductor device including landing plug contact
US20070082482A1 (en) Method for forming contact hole of semiconductor device
US20100055922A1 (en) Method for fabricating semiconductor device
US7153771B2 (en) Method for forming metal contact in semiconductor device
KR20070001510A (en) Method for manufacturing semiconductor device
KR20120044071A (en) Method for step patterning of semiconductor devices
US20230282488A1 (en) Self-Aligned Double Patterning
KR100388453B1 (en) Method for fabricating capacitor
KR20090067607A (en) Method for forming micropattern in semiconductor device
KR20090000882A (en) Method for forming fine pattern in semiconductor device
KR100465837B1 (en) Method for fabricating capacitor with ruthenium bottom electrode

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION