TW202001991A - 圖案化半導體裝置的方法 - Google Patents

圖案化半導體裝置的方法 Download PDF

Info

Publication number
TW202001991A
TW202001991A TW108107158A TW108107158A TW202001991A TW 202001991 A TW202001991 A TW 202001991A TW 108107158 A TW108107158 A TW 108107158A TW 108107158 A TW108107158 A TW 108107158A TW 202001991 A TW202001991 A TW 202001991A
Authority
TW
Taiwan
Prior art keywords
layer
thickness
precursor
deposition
mask
Prior art date
Application number
TW108107158A
Other languages
English (en)
Inventor
王偉任
潘興強
張競予
蔡萬霖
許仲豪
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202001991A publication Critical patent/TW202001991A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明實施例提供半導體裝置與其形成方法。方法包括形成第一遮罩層於下方層上;圖案化第一遮罩層以形成第一開口;形成非順應膜於第一遮罩層上,其中形成於第一遮罩層之上表面上的非順應膜之第一厚度,大於形成於第一遮罩層之側壁表面上的非順應膜之第二厚度;進行除渣製程,其中除渣製程移除第一開口中的非順應膜之部份;以及採用圖案化的第一遮罩層與非順應膜的保留部份作為蝕刻遮罩,並蝕刻下方層。

Description

圖案化半導體裝置的方法
本發明實施例關於圖案化半導體裝置的方法,更特別關於形成非順應膜於圖案化的遮罩層上。
半導體裝置用於多種電子應用如個人電腦、手機、數位相機、與其他電子設備中。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並採用微影與蝕刻製程圖案化多種材料層,以形成電路構件與單元於半導體基板上。
半導體產業持續縮小最小結構尺寸,以持續改善多種電子構件(如電晶體、二極體、電阻、電容、或類似物)的積體密度,可將更多構件整合至給定面積。然而隨著最小結構尺寸縮小,每一製程中也產生需解決的額外問題
本發明一實施例提供圖案化半導體裝置的方法,包括:形成第一遮罩層於下方層上;圖案化第一遮罩層以形成第一開口;形成非順應膜於第一遮罩層上,其中形成於第一遮罩層之上表面上的非順應膜之第一厚度,大於形成於第一遮罩層之側壁表面上的非順應膜之第二厚度;進行除渣製程,其中除渣製程移除第一開口中的非順應膜之部份;以及採用圖案化的第一遮罩層與非順應膜的保留部份作為蝕刻遮罩,並蝕刻下方層。
下述內容提供的不同實施例可實施本揭露的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
圖1、圖2、與圖6至17係一些例示性實施例中,形成結構於半導體裝置100上的目標層102中的多種中間階段之剖視圖。在一些實施例中,目標層102為即將形成圖案於其中的層狀物。在一些實施例中,進行製程的半導體裝置100為較大晶圓的一部份。在這些實施例中,形成半導體裝置100的多種結構(如主動裝置、內連線結構、與類似物)之後,可對晶圓的切割線區域施加切割製程,以自晶圓分隔(或切割)個別的半導體晶粒。
在一些實施例中,目標層102為半導體基板。半導體基板的組成可為半導體材料如矽、矽鍺、或類似物。在一些實施例中,半導體基板為結晶半導體基板,比如結晶矽基板、結晶碳化矽基板、結晶矽鍺基板、III-V族半導體化合物基板、或類似物。可依實施例的製程圖案化半導體基板,並採用後續製程形成淺溝槽隔離區於基板中。半導體鰭狀物可自淺溝槽隔離區之間凸起。源極/汲極區可形成於半導體鰭狀物中,而閘極介電層與閘極層可形成於鰭狀物的通道區上,以形成半導體裝置如鰭狀場效電晶體。
在圖1中,膜堆疊包含目標層102,且形成於半導體裝置100中。在一些實施例中,目標層102可形成於半導體基板104上。半導體基板104的組成可為半導體材料如摻雜或未摻雜的矽,或絕緣層上半導體基板的主動層。半導體基板104可包含其他半導體材料如鍺、半導體化合物(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(包含矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。此外亦可採用其他基板如多層基板或組成漸變基板。可形成裝置(未圖示)如電晶體、二極體、電容、電阻、或類似物於半導體基板104的主動表面之中及/或之上。在其他實施例中,目標層102為用於形成鰭狀場效電晶體的半導體基板,並可省略半導體基板104。
雖然圖1中的目標層102物理接觸半導體基板104,但目標層102與半導體基板104之間可夾設任意數目的中介層。這些中介層可包括層間介電層(包含低介電常數介電層與接點插塞形成其中)、其他金屬間介電層(具有導電線路及/或通孔形成其中)、一或多個中間層(如蝕刻停止層、黏著層、或類似物)、上述之組合、或類似物。舉例來說,蝕刻停止層(未圖示)可視情況直接位於目標層102下。蝕刻停止層可作為在目標層102上進行的後續蝕刻製程之停止層。用於形成蝕刻停止層的材料與製程,可取決於目標層102的材料。在一些實施例中,蝕刻停止層的組成可為氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、碳氮化矽、氧化矽、其他介電層、上述之組合、或類似物,且其形成方法可為電漿增強化學氣相沉積、低壓化學氣相沉積、物理氣相沉積、或類似方法。此外可採用其他材料與製程。
膜堆疊亦可包含抗反射塗層106形成於目標層102上。抗反射塗層106在圖案化光阻層時,有助於曝光與聚焦上方的光阻層(如下述)。在一些實施例中,抗反射塗層106的組成可為氮氧化矽、碳化矽、摻雜氧與氮的材料、或類似物。在一些實施例中,抗反射塗層106實質上不含氮,且組成可為氧化物。在這些實施例中,抗反射塗層106亦可稱作無氮抗反射塗層。在一些實施例中,抗反射塗層106的材料組成可擇以避免反射。抗反射塗層106的形成方法可為電漿增強化學氣相沉積、高密度電漿沉積、或類似方法。此外可採用其他製程與材料。
膜堆疊更包括硬遮罩層108形成於抗反射塗層106與目標層102上。硬遮罩層108的組成材料可包含金屬(如氮化鈦、鈦、氮化鉭、鉭、摻雜金屬的碳化物如碳化鎢、或類似物)及/或非金屬(如氮化矽、氮化硼、碳化矽、或類似物)。在一些實施例中,硬遮罩層108的材料組成可取決於相對於下方層的高蝕刻選擇性,比如相對於抗反射塗層106及/或目標層102。硬遮罩層108的形成方法可為物理氣相沉積、射頻物理氣相沉積、原子層沉積、電漿增強原子層沉積、或類似方法。此外可採用其他製程與材料。在後續製程步驟中,可採用實施例的圖案化製程以形成遮罩圖案於硬遮罩層108中。接著以硬遮罩層108作為蝕刻目標層102的蝕刻遮罩,並將硬遮罩層108的圖案轉移至目標層102。
膜堆疊亦可包含介電層110形成於硬遮罩層108上。在後續製程中,介電層110可用於形成多個間隔物,其可用於圖案化目標層。介電層110的組成可為氧化矽,比如硼磷矽酸鹽四乙氧矽烷或未摻雜的四乙氧矽烷的氧化物,且其形成方法可為化學氣相沉積、原子層沉積、電漿增強原子層沉積、旋轉塗佈、或類似方法。此外可採用其他製程或材料。
遮罩層可形成於介電層110上。在一些實施例中,可採用三層結構如三層遮罩層120。三層遮罩層120形成於介電層110上的膜堆疊上。三層遮罩層120包含底層112、底層112上的中間層114、與中間層114上的上側層116。上側層116的組成可為光阻(如光敏材料),其包含有機材料,且可為正型光敏材料或負型光敏材料。在一些實施例中,底層112的組成可為聚合物。底層112亦可為底抗反射塗層。中間層114可包含無機材料,其可為氮化物(如氮化矽)、氮氧化物(如氮氧化矽)、氧化物(如氧化矽)、或類似物。中間層114相對於上側層116與底層112,可具有高蝕刻選擇性。三層遮罩層120的多種層狀物可依序毯覆性地沉積,其沉積方法可採用旋轉塗佈製程。此外可採用其他製程與材料。雖然此處說明的是三層遮罩層120,但其他實施例的三層遮罩層120實際上可為單層遮罩層或雙層遮罩層(比如只包含底層112與上側層116,而不具有中間層114)。遮罩層的種類(如單層遮罩層、雙層遮罩層、或三層遮罩層)可取決於圖案化介電層110所用的光微影製程。舉例來說,在一些極紫外線微影製程中,可採用單層遮罩層或雙層遮罩層。
在一些實施例中,採用光微影製程圖案化上側層116。接著以上側層116作為圖案化中間層114所用的蝕刻遮罩(見圖2)。接著以中間層114作為圖案化底層112所用的蝕刻遮罩,再採用底層112圖案化介電層110 (見圖3)。採用三層光阻(如三層遮罩層120)蝕刻目標層(如介電層110),可改良目標層(如介電層110)中細小間距圖案的定義。
採用任何合適的光微影製程圖案化上側層116,以形成開口122於其中。上側層116的保留部份即上側遮罩126。開口122可定義上側遮罩126之間的溝槽,其具有溝槽寬度W1。在圖案化開口122於上側層116中的一例中,可將光罩(未圖示)置於上側層116上。接著在光罩遮罩上側層116的一些區域時,以射線束曝光上側層116,且射線束包括紫外線或準分子雷射(如248nm的氟化氪準分子雷射、193nm的氟化氬準分子雷射、157nm的氟氣準分子雷射、或類似準分子雷射)。曝光頂光阻層的方法可採用浸潤式微影系統或極紫外線微影系統,以增加解析度並縮小最小間距。此外可進行一或多道微影步驟。可進行烘烤或硬化步驟以硬化上側層116,且可採用顯影劑移除上側層116的曝光或未曝光部份,端視採用的光阻為正型光阻或負型光阻。開口122在平面圖中可為帶狀(未圖示)。在一些例子中,開口122的最小溝槽寬度W1可為約19nm。此外亦可實施開口122的其他寬度。
圖2亦顯示非順應膜118形成於上側遮罩126與中間層114上。在一些實施例中,非順應膜118的組成可為碳氮化矽、氮化矽、氮氧化矽、碳氮氧化矽、碳化矽、碳氧化矽、碳氮化矽、氧化矽、其他介電層、上述之組合、或類似物,且其形成方法可為電漿增強化學氣相沉積、低壓化學氣相沉積、物理氣相沉積、原子層沉積、電漿增強原子層沉積、或類似方法。此外可採用其他材料與製程。非順應膜118以非順應的方式形成,因此上側遮罩126與中間層114的不同表面上具有不同沉積厚度的非順應膜118。舉例來說,形成於上側遮罩126的上表面上之非順應膜118其厚度(如頂部厚度T1),可大於形成於上側遮罩126的側壁上之非順應膜118其厚度(如側壁厚度T2)或形成於中間層114上之非順應膜118其厚度(如溝槽厚度T3)。舉例來說,一些實施例的頂部厚度T1比約兩倍至約三倍的側壁厚度T2厚,但其他實施例中的頂部厚度T1與側壁厚度T2之間的相對厚度可不同。
圖3與4顯示的沉積系統200可用於形成非順應膜118,其採用原位的順應性沉積技術如原子層沉積或電漿增強原子層沉積。在一實施例中,沉積系統200自第一前驅物輸送系統205接收第一前驅物材料,及/或自第二前驅物輸送系統206接收第二前驅物材料。在一實施例中,第一前驅物輸送系統205與第二前驅物輸送系統206可彼此合作,以供應多種不同的前驅物材料至放置半導體裝置100於其中的沉積腔室203。第一前驅物輸送系統205與第二前驅物輸送系統206具有的物理構件可彼此相同。在其他實施例中,可採用較少或較多的前驅物輸送系統。
舉例來說,第一前驅物輸送系統205與第二前驅物輸送系統206可各自包含氣體供應源207與氣流控制器209。在一實施例中,以氣相儲存第一前驅物材料,而氣體供應源207可供應第一前驅物材料至沉積腔室203。氣體供應源207可為容器如氣體儲存槽,其可位於製程腔室203所在處,或可遠離製程腔室203。另一方面,氣體供應源207可為獨立準備並輸送第一前驅物材料至氣流控制器209的設施。用於第一前驅物材料的任何合適來源可作為氣體供應源207,且這些來源完全包含於實施例的範疇。
氣體供應源207可供應所需的前驅物至氣流控制器209。氣流控制器209可用於控制前驅物至氣體控制器213的氣流,以及最後至沉積腔室203的氣流,以助控制沉積腔室203中的壓力。氣流控制器209可為分壓、調整閥、針閥、壓力調節器、質流控制器、上述之組合、或類似物。然而可採用任何合適的方法控制或調整前驅物材料的氣流,且這些構件與方法完全包含於實施例的範疇。
然而本技術領域中具有通常知識者應理解,雖然此處所述的第一前驅物輸送系統205與第二前驅物輸送系統206具有相同構件,但此僅用於舉例說明而非侷限實施例。沉積系統200中可改用任何種類的合適前驅物輸送系統,其具有任何種類或任何數目的個別構件,且每一前驅物輸送系統的個別構件可相同或不同。這些前驅物輸送系統完全包含於實施例的範疇中。
此外,在儲存固態或液態的第一前驅物之實施例中,氣體供應器207可儲存載氣,且載氣可導入儲存液態或氣態的第一前驅物之前驅物罐(未圖示)。接著在將前驅物罐中蒸發或升華為氣相的第一前驅物送入氣體控制器213之前,採用載氣推送與承載第一前驅物。可採用任何合適方法與單位的組合以提供第一前驅物,且這些單位的組合完全包含於實施例的範疇。在一些實施例中,載氣可為氮氣、氦氣、氬氣、上述之組合、或類似物,但亦可改用其他合適載氣。
第一前驅物輸送系統205與第二前驅物輸送系統206可供應個別的前驅物材料至氣體控制器213。氣體控制器213連接並隔離第一前驅物輸送系統205、第二前驅物輸送系統206、反應物輸送系統208、與沉積腔室203,以輸送所需的前驅物材料至沉積腔室203。氣體控制器213可包含裝置如閥件、流速計、感測器、或類似物,以控制每一前驅物的輸送流速。氣體控制器213可接收來自控制單元215 (將搭配圖3說明如下)的指令,而指令可控制氣體控制器213。在一些實施例中,淨化氣體輸送系統214可連接至氣體控制器213,並提供淨化氣體至沉積腔室203。淨化氣體輸送系統214可包含氣體槽或其他設施,其可提供淨化氣體如氮氣、氦氣、氬氣、氙氣、上述之組合、或類似物,但亦可改用其他合適的淨化氣體。
氣體控制器213可接收來自控制單元215的指令,並可開啟或關閉閥件,以連接一或多個第一前驅物輸送系統205或第二前驅物輸送系統206至沉積腔室203,並經由歧管216將所需的前驅物材料導入噴灑頭217至沉積腔室203。噴灑頭217可用於分散選用的前驅物材料至沉積腔室203中,並可設計為均勻分散前驅物材料,使不均勻分散造成的製程問題最小化。在一實施例中,噴灑頭217可具有圓形設計,其開口可均勻分佈於噴灑頭217,以分散所需的前驅物材料至沉積腔室203中。
然而如本技術領域中具有通常知識者所知,經由單一噴灑頭或單一導入點將前驅物材料導入沉積腔室203如前述,僅用以舉例說明而非侷限實施例。可改用任何數目的分開獨立噴灑頭217或其他開口,以將前驅物材料導入沉積腔室203中。噴灑頭與其他導入點的這些組合,完全包含於實施例的範疇。
沉積腔室203可接收所需的前驅物材料,並暴露前驅物材料至半導體裝置100。沉積腔室203可為任何所需形狀,其適於分散前驅物材料並使前驅物材料接觸半導體裝置100。在圖3所示的實施例中,沉積腔室203具有圓筒狀的側壁與底部。然而沉積腔室203不限於圓筒狀,而可採用任何其他的合適形狀如中空方管、八角形、或類似形狀。此外,圍繞沉積腔室203的殼體219其材料組成,對多種製程材料屬鈍性。如此一來,殼體219可為任何合適材料以承受沉積製程時的化學品與壓力。在一實施例中,殼體219可為鋼、不鏽鋼、鎳、鋁、上述之合金、上述之組合、或類似物。
在沉積腔室203中,半導體裝置100可置於安裝平台221上,以在沉積製程時安置及控制半導體裝置100。安裝平台221可包含加熱機制,以在沉積製程時加熱半導體裝置100。此外,雖然圖3僅顯示單一的安裝平台221,但沉積腔室203中可包含任何數目的額外安裝平台221。
此外,沉積腔室203與安裝平台221可為集束式工具系統(未圖示)的一部份。集束工具系統可與自動處理系統一起使用,以在沉積製程之前將半導體裝置100置入沉積腔室203中,在沉積製程時放置並固定半導體裝置100,並在沉積製程之後自沉積腔室203移出半導體裝置100。
安裝平台221可額外包含第一電極220,其耦接至第一射頻產生器222。在控制單元215的控制下,第一射頻產生器222在沉積製程時可施加電性偏壓(如射頻電壓)至第一電極220。藉由施加電性偏壓,第一電極220可用於提供偏壓至進入的氣相材料(如前驅物、載氣、淨化氣體、或類似物),以在沉積製程的步驟中點燃氣相材料為電漿。此外,第一電極220在沉積製程時可維持偏壓以用於維持電漿。
沉積腔室203亦包含上電極227以作為電漿產生器。在一些實施例中,電漿產生器可為變壓器耦合電漿產生器且可為線圈。線圈可貼合至第二射頻產生器229,其在控制單元215的控制下提供功率至上電極227,可在導入氣相材料時用於點燃電漿。雖然上述的上電極227為變壓器耦合電漿產生器,但實施例並不限於變壓器耦合電漿產生器。相反地,可改用任何合適方法產生電漿,比如感應耦合電漿系統、磁增強反應性離子蝕刻、電子迴旋共振、遠端電漿產生器、或類似物。所有的這些方法完全包含於實施例的範疇。
沉積腔室203亦可具有排氣出口225,用以將廢氣排出沉積腔室203。真空泵浦223可連接至沉積腔室203的排氣出口225,以助排出廢氣。在控制單元215的控制下,亦可採用真空泵浦223降低並控制沉積腔室203中的壓力至所需壓力,並自沉積腔室203抽出前驅物材料或反應副產物,以準備進行沉積製程的另一步驟。
圖4係一實施例中,可用於控制氣體控制器213與真空泵浦223 (如圖3所示)的控制單元215。控制單元215可為任何形式的電腦處理器,其可用於工業設定以控制製程機器。在一實施例中,控制單元215可包含處理器301 如桌上型電腦、工作站、筆記型電腦、或特用的客製化單元。控制單元215可配備顯示器303與一或多個輸入/輸出構件305 (如指令輸出、感測輸入、滑鼠、鍵盤、印表機、上述之組合、或類似物)。處理器301可包含連接至匯流排312的輸入/輸出介面316、中央處理器306、記憶體308、大量資料儲存裝置310、與顯示卡314。
匯流排312可為一或多個任何種類的多個匯流排結構,其包含記憶體匯流排或記憶體控制器、周邊匯流排、或視頻匯流排。中央處理器306可包含任何種類的電子資料處理器,且記憶體308可包含任何種類的系統記憶體,比如靜態隨機存取記憶體、動態隨機存取記憶體、或唯讀記憶體。大量資料儲存裝置310可包含設置以儲存資料、程式、與其他資訊之任何種類的儲存裝置,並可經由匯流排312存取資料、程式、與其他資訊。舉例來說,大量資料儲存裝置310可包含一或多個硬碟、磁碟、或光碟。
顯示卡314與輸入/輸出介面316可提供介面,以耦接外部輸入與輸出裝置至處理器301。如圖3所示,輸入與輸出裝置的例子包含耦接至顯示卡314的顯示器303,與耦接至輸入/輸出介面316的輸入/輸出構件305 (如滑鼠、鍵盤、印表機、與類似物)。其他裝置可耦接至處理器301,且可採用額外或較少的介面卡。舉例來說,可採用串列介面卡(未圖示)以提供印表機所用的串列介面。處理器301亦可包含網路介面318,其可為連接至區域網路或廣域網路320的有線連接,及/或無線連接。
值得注意的是,控制單元215可包含其他構件。舉例來說,控制單元215可包含電源、纜線、主機板、可移式儲存媒介、殼體、與類似物。雖然圖4未顯示這些其他構件,但這些構件應視作控制單元215的一部份。
一旦分別將第一前驅物材料與第二前驅物材料置入第一前驅物輸送系統205與第二前驅物輸送系統206,則由控制單元215傳送指令至氣體控制器213,可連接第一前驅物輸送系統205與第二前驅物輸送系統206至沉積腔室203,以開始形成非順應膜118。一旦連接第一前驅物輸送系統205與第二前驅物輸送系統206至沉積腔室203,則上述前驅物輸送系統可經由氣體控制器213與歧管216輸送第一前驅物材料與第二前驅物材料至噴灑頭217。噴灑頭217接著可分散第一前驅物材料與第二前驅物材料至沉積腔室203中,其中第一前驅物材料與第二前驅物材料可吸附於半導體裝置100上並彼此反應形成非順應膜118。在一些實施例中,只採用第一前驅物材料以形成非順應膜118。
在特定實施例中,用於形成非順應膜118的沉積製程可為電漿增強原子層沉積製程,其包含數個重複的沉積循環,其中每一循環包括數個步驟。舉例來說,沉積循環可包含前驅物供料步驟、前驅物淨化步驟、前驅物暴露步驟、與前驅物淨化步驟。在前驅物供料步驟中,一或多個前驅物材料流入沉積腔室203中。在前驅物供料步驟中,前驅物材料可吸附至半導體裝置100的一些露出表面上。舉例來說,可將第一前驅物材料導入沉積腔室203中,使第一前驅物材料吸附至上側遮罩126的表面、中間層114的表面、之前沉積循環所形成的材料表面、或其他表面上。在一些例子中,可將超過一種的前驅物材料同時導入沉積腔室203中。第一前驅物材料可與位於露出表面上的開放活性位點反應。然而一旦露出表面上所有的開放活性位點與第一前驅物材料反應即停止反應,因為沒有更多開放活性位點可與第一前驅物材料鍵結。在一些例子中,可自我限制第一前驅物材料的反應,使反應的前驅物材料之單層形成於露出表面上,以更精準地控制不同表面上的非順應膜118之厚度。在一些實施例中,前驅物材料可流入沉積腔室203中,其流速可介於約200sccm至約20000sccm之間,比如約4000sccm。此外,淨化氣體的流速可設定為約200sccm至約20000sccm之間,比如約4000sccm。在一些實施例中,沉積腔室203中的壓力可介於約2mTorr至約10mTorr之間,比如約4mTorr。在一些實施例中,沉積腔室203的溫度可介於約50℃至約150℃之間,比如約75℃。
在淨化前驅物的步驟中,可淨化沉積腔室203中,前驅物供料步驟的一些前驅物材料,舉例來說,控制單元215可下指令至氣體控制器213,以與第一前驅物輸送系統205及/或第二前驅物輸送系統206斷線。氣體控制器213可連接淨化氣體輸送系統214,以輸送淨化氣體至沉積腔室203。此外,控制單元215亦可開啟真空泵浦以施加壓力差至沉積腔室203,可助移除前驅物材料。在一些實施例中,淨化氣體自沉積腔室203淨化前驅物材料的時間可為約0.1秒或更長。在一些實施例中,淨化氣體的流速可設定為介於約200sccm至約20000sccm之間,比如約4000sccm。在一些實施例中,沉積腔室203中的壓力可介於約2mTorr至約10mTorr之間,比如約4mTorr。在一些實施例中,沉積腔室203的溫度可介於約50℃至約150℃之間,比如約75℃。
在電漿暴露步驟中,控制單元215進行點燃步驟,以點燃沉積腔室203中的材料成電漿。可自淨化氣體、前驅物材料、或導入沉積腔室203中的另一材料點燃成電漿。點燃電漿所形成的自由基可與之前吸附的前驅物材料反應,可製備吸附的前驅物材料表面,其用於在後續循環時吸附材料。製備表面的步驟在後續循環時,可讓相同種類的前驅物材料或另一種類的前驅物材料吸附至製備的表面上。在一些實施例中,點火電漿的射頻功鋝介於約2MHz至約20MHz之間,比如約13.56MHz。電漿可維持約0.1秒至約2秒之間,比如約1秒。在一些實施例中,沉積腔室203中的壓力可維持在約2mTorr至約10mTorr之間,比如約4mTorr。在一些實施例中,電漿暴露步驟時的淨化氣體流入的流速介於約200sccm至約20000sccm之間,比如約4000sccm。在一些實施例中,沉積腔室203的溫度可介於約50℃至約150℃之間,比如約75℃。
在電漿淨化步驟中,可淨化沉積腔室203的多餘前驅物材料、電漿反應副產物、或電漿暴露步驟之後的其他材料。舉例來說,氣體控制器213可連接淨化氣體輸送系統214以輸送淨化氣體至沉積腔室203。此外,控制單元215亦可開啟真空泵浦223以施加壓力差至沉積腔室203,可助移除沉積腔室203中的前驅物材料。在一些實施例中,淨化氣體自沉積腔室203淨化第一前驅物的時間可為約0.1秒或更長。在一些實施例中,淨化氣體的流速可設定為介於約200sccm至約20000sccm之間,比如約4000sccm。在一些實施例中,沉積腔室203中的壓力可介於約2mTorr至約10mTorr之間,比如約4mTorr。在一些實施例中,沉積腔室203的溫度可介於約50℃至約150℃之間,比如約75℃。
在完成沉積循環之後,可重複另一沉積循環。在此態樣中,可依序進行沉積循環多次以沉積非順應膜118的材料。在一些例子中,可交錯採用第一前驅物材料的第一沉積循環與採用第二前驅物材料的第二沉積循環。在其他例子中,可採用額外前驅物與相關的沉積循環。在其他例子中,可進行採用處理氣體的沉積循環,以製備露出表面以用於後續的沉積循環。
在一些實施例中,圖3與4與示的沉積系統200可用於沉積非順應膜(如圖2與圖5A至6所示的非順應膜118)。在一些實施例中,非順應膜118可包含碳氮化矽,但非順應膜118亦可包含其他材料如多晶矽、氧化矽、氧化鈦、非晶碳、或其他物。在一些例子中,碳氮化矽膜亦可具有一些氧或氫。舉例來說,碳氮化矽的非順應膜118在暴露至大氣時可與氧反應。在一些實施例中,碳氮化矽的非順應膜118的形成方法可採用一或多個前驅物材料,比如SAM-24 (雙(二乙基胺基)矽烷)、三(二甲基胺基)矽烷、雙(第三丁基胺基)矽烷、二(異丙基胺基)矽烷、或另一種前驅物材料。在一實施例中,為形成碳氮化矽的非順應膜118,前驅物供料步驟中的第一前驅物材料流入沉積腔室203的流速可為約4000sccm,且流入時間為約0.2秒。此外,沉積腔室203的壓力可維持為約4mTorr,而溫度可維持為約75℃。前驅物的淨化步驟可包含流入淨化氣體,其流速為約4000sccm且時間為約0.1秒。此外,沉積腔室203的壓力可維持在約4mTorr,而溫度可維持在約75℃。電漿暴露步驟的電漿產生器功率可為約13.56MHz,且可維持電漿約1秒鐘。在一些實施例中,可在電漿暴露步驟時流入氮氣的淨化氣體以產生氮電漿,但亦可採用其他種類的電漿。此外,沉積腔室203的壓力可維持在約4mTorr,而溫度可維持在約75℃。電漿淨化步驟可包含流入淨化氣體,其流速為約4000sccm而時間為約0.8秒。此外,沉積腔室203的溫度可維持約75℃。然而本技術領域中具有通常知識者應理解,這些製程條件僅用以說明,而任何合適的製程條件仍屬於實施例的範疇。
在一些實施例中,非順應膜118形成於不同表面上的不同部份可具有不同厚度。如圖5A至5C所示的例子,結構516形成於層狀物514上,而非順應膜518形成於結構516上。在此處所述的內容中,非順應膜518可與非順應膜118類似,結構516可與上側遮罩126類似,而層狀物514可與中間層114類似。舉例來說,結構516可為圖案化光阻或另一種類的結構,且可具有高度H2與寬度W2。可採用此處所述的技術沉積非順應膜518,但亦可採用其他種類的膜或其他技術。如圖5A所示的例子,結構516之上表面上的非順應膜518之頂部厚度T1,可大於結構516之側壁上的非順應膜518之側壁厚度T2。非順應膜518的頂部厚度T1,亦可大於層狀物514之表面上的非順應膜518之溝槽厚度T3。在一些例子中,側壁厚度T2可大於或等於溝槽厚度T3。舉例來說,非順應膜518的頂部厚度T1可介於約5nm至約10nm之間,側壁厚度T2可介於約1nm至約3nm之間,而溝槽厚度T3可介於約1nm至約3nm之間。這些內容僅用以舉例,此處所述的非順應膜可具有其他厚度,而其他例子中的非順應膜可較薄或較厚。在一些例子中,頂部厚度T1等於約三倍的側壁厚度T2,但其他例子中的頂部厚度T1可小於或大於約三倍的側壁厚度T2。舉例來說,頂部厚度T1與側壁厚度T2的比例(T1:T2)可介於約3:1至約5:1之間,或者頂部厚度T1與溝槽厚度T3的比例(T1:T3)可介於約3:1至約5:1之間。在一些例子中,頂部厚度T1與側壁厚度T2之間的比例(T1:T2)或側壁厚度T2與溝槽厚度T3之間的比例(T2:T3)較大時,除渣製程可更完整且損傷圖案化結構的機率更低,如下詳述。
圖5B係一例中,形成於結構516之側壁上的非順應膜518,其靠近結構516之頂部的厚度大於其靠近結構516之底部的厚度。
圖5C係另一例中,頂部厚度T1大於側壁厚度T2,但僅有很少或甚至沒有非順應膜518形成於層狀物514上。在一些實施例中,可沉積抑制材料於層狀物514上,以抑制形成非順應膜於層狀物514上。如圖5A至5C所示,沉積非順膜於結構上如此處所述,結構整體高度的增加量(比如高度H2增加至高度H3),大於結構整體厚度的增加量(比如寬度W2增加至寬度W3)。
在一些實施例中,可控制沉積循環或非順應膜形成其上之結構輪廓,以控制非順應膜之沉積部份的厚度差異。在一些實施例中,可控制每一沉積循環步驟的時間,使非順應膜的頂部厚度T1大於側壁厚度T2。舉例來說,隨著增加前驅物供料步驟的時間、減少前驅物淨化步驟的時間、或增加電漿暴露步驟的電漿維持時間,可增加頂部厚度T1的成長厚度。
在一些實施例中,亦可控制結構輪廓以影響非順應膜的厚度。舉例來說,減少兩結構之間的溝槽寬度W1 (比如較窄的溝槽寬度W1如圖1所示),可讓頂部厚度T1大於側壁厚度T2或溝槽厚度T3。舉例來說,一些例子的溝槽寬度W1小於或等於約20nm,可讓非順應膜的頂部厚度T1大於約三倍的側壁厚度T2。在一些例子中,縮小溝槽寬度W1會使形成於溝槽下表面的非順應膜變薄或消失。在一些例子中,增加兩個結構之間的溝槽寬度W1,會使頂部厚度T1、側壁厚度T2、與溝槽厚度T3更類似。在一些實施例中,可採用虛置結構控制間距與厚度。舉例來說,一些例子的溝槽寬度W1為約100nm或更大,可讓頂部厚度T1、側壁厚度T2、與溝槽厚度T3大致相同。在一些例子中,具有較大高寬比(如H2/W2)的結構,會讓沉積於結構上的非順應膜其頂部厚度T1大於側壁厚度T2或溝槽厚度T3。在此態樣中,藉由沉積製程參數與非順應膜形成其上的結構特性,可控制非順應膜的非順應特性。
在形成非順應膜118於上側遮罩126與中間層114上之後,可進行除渣製程。除渣製程可用於自中間層114的表面移除不需要的殘留物(渣)。舉例來說,在圖案化上側層116時,可能殘留一些光阻殘留物於中間層114的部份上。這些殘留物可能干擾後續製程步驟。舉例來說,在圖案化中間層114時,可能無法完全蝕刻殘留物所覆蓋的中間層114之區域,這會造成半導體裝置100中的缺陷。因此除渣製程可用於移除存在於中間層114上的任何殘留物。在一些例子中,除渣製程可包含電漿製程如氧氣電漿製程或碳氟化合物電漿製程,但亦可採用其他種類的電漿。在一些例子中,除渣製程為灰化製程。徹底的除渣製程可用於確認移除所有殘留物。然而在一些例子中,徹底的除渣製程可能預料之外地移除上側遮罩126的部份,這會造成缺陷(比如橋接缺陷或其他缺陷)於半導體裝置100中。
藉由形成非順應膜118於上側遮罩126上,可在徹底除渣製程時保護上側遮罩126。圖6顯示進行除渣製程之後,半導體裝置100的例子。在此例中,除渣製程會薄化頂部厚度T1至頂部厚度T1’、薄化側壁厚度T2至側壁厚度T2’、並移除任何存在於中間層114上的非順應膜118。舉例來說,除渣製程會薄化頂部厚度T1約4nm至6nm,以達頂部厚度T1’。在一些例子中,除渣製程可薄化側壁厚度T2約1nm至約3nm,以達側壁厚度T2’。在一些例子中,除渣製程可移除上側遮罩126的側壁上之大部份或所有的非順應膜118。在一些實施例中,非順應膜118的頂部厚度T1大於溝槽厚度T3,因此在完全移除中間層114的表面上的非順應膜118時,可保留上側遮罩126的頂部上的非順應膜的部份(其具有頂部厚度T1’)。在一些例子中,形成於中間層114的表面上之非順應膜118較薄,有助於除渣製程更易移除中間層114的表面上的非順應膜118之部份。在一些實施例中,除渣製程之後保留一些非順應膜118於中間層的表面上。在一些實施例中,沒有非順應膜118沉積於中間層114上,如圖5C所示。如圖6所示,除渣製程亦可蝕刻或移除中間層114的部份。舉例來說,除渣製程移除中間層114的部份至深度D1,且一些例子中的深度D1可介於約1nm至約5nm之間。在此態樣中,圖案化結構上的非順應膜可改善除渣製程,並降低損傷圖案化結構的風險。
如圖7所示,在圖案化上側層116與除渣製程之後,可由蝕刻製程將上側層116的圖案(如上側遮罩126的圖案)完全轉移至中間層114。蝕刻製程為非等向,因此上側層116中的開口122延伸穿過中間層114,且中間層114中的開口與上側層116中的開口相較具有大致相同(或略小)的尺寸。上述結構如圖7所示。
如圖8所示,可進行蝕刻製程以將中間層114的圖案轉移至底層112,使開口122延伸穿過底層112。蝕刻底層112的製程為非等向,因此中間層114中的開口122延伸穿過底層112,且底層112中的開口122與中間層114中的開口122具有大致相同(或略小)的尺寸。在蝕刻底層112的製程中,可消耗上側層116。
在圖9中,採用蝕刻製程將底層112的圖案轉移至介電層110。蝕刻製程為非等向,因此底層112中的開口122延伸穿過介電層110,且介電層110中的開口與底層112中的開口相較具有大致相同(或略小)的尺寸。在蝕刻介電層110時,可部份地消耗中間層114與底層112。在蝕刻介電層110時未完全消耗底層112的實施例中,可進行灰化製程以移除底層112的殘留物。保留結構如圖10所示。
在圖11中,採用介電層110作為蝕刻遮罩,圖案化硬遮罩層108以形成開口150。在一些實施例中,蝕刻硬遮罩層108的步驟包括非等向的乾蝕刻及/或濕蝕刻。在圖案化硬遮罩層108之後,可進行濕式清潔以移除介電層110的任何殘留部份,如圖12所示。
接著如圖13所示,可採用硬遮罩層108作為蝕刻遮罩,以延伸開口150至目標層102中。蝕刻目標層102的步驟可包括非等向乾蝕刻製程及/或濕蝕刻製程,其依序蝕刻穿過抗反射塗層106至目標層102。可在單一圖案化步驟中圖案化目標層102。在圖案化開口150之後,可進行濕式清潔製程以移除硬遮罩層108與抗反射塗層106的任何剩餘部份。上述結構如圖14所示。在圖案化開口150於目標層102中之後,可形成結構於開口中。
如圖15所示,可沿著開口150的側壁與下表面形成一或多個襯墊層162。襯墊層162可包含氧化鈦、氮化鈦、氧化鉭、氮化鉭、或類似物,其可用於導電結構的擴散阻障層、黏著層、及/或晶種層。襯墊層的沉積方法可採用任何合適製程,比如物理氣相沉積、化學氣相沉積、原子層沉積、電漿增強化學氣相沉積、或類似方法。
接著如圖16所示,可將導電材料164填入開口150的其餘部份,且導電材料164的形成方法可採用物理氣相沉積、原子層沉積、電鍍、或類似方法。導電材料164可包含銅、鋁、鎢、或另一導電材料。可先沉積導電材料164以超填開口150,如圖16所示。接著可進行平坦化製程以移除目標層102上的導電材料164之多餘部份,如圖17所示。在此態樣中,導電結構170可形成於目標層102中。在一些實施例中,目標層102為低介電常數的介電層,而圖案化的目標層102作為金屬間介電層以用於內連線結構。導電結構170可為線路、通孔、接點、或插塞。在一些實施例中,線路切點可分隔導電結構170。上述僅為舉例,其他結構(包含其他導電結構)亦屬本發明實施例的範疇。
在其他實施例中,此處所述的技術可用於圖案化其他種類的層狀物或形成其他種類的結構。舉例來說,一些實施例的目標層102為導電層如金屬層或多晶矽層,其可毯覆性地沉積。可採用此處所述的技術圖案化導電層,以形成金屬硬遮罩、導電線路、圖案化晶種層、或其他種類的結構。實施例的圖案化製程亦可用於合適種類的目標層102,以圖案化鰭狀場效電晶體或其他種類的電晶體之半導體閘極或虛置閘極。在其他實施例中,目標層102為層間介電層,而實施例的圖案化製程可用於目標層102以形成導電結構(如線路、接點、通孔、插塞、或類似物)於目標層102中。
如上所述,採用圖案化光阻上的非順應膜(如順應膜118),可進行更徹底的除渣製程,而不會增加損傷光阻的風險。雖然圖1至2與圖6至17的製程例子形成導電結構於目標層中,此處所述的技術亦可用於圖案化層狀物後進行除渣製程的任何製程。舉例來說,在圖案化光阻層後進行除渣製程以移除光阻殘留物的製程中,可採用上述技術。此處所述的非順應膜可保護圖案化結構,而不會明顯增加圖案化結構的寬度。舉例來說,形成於結構側壁上的非順應膜的厚度,可小於形成於結構頂部上的非順應膜厚度。在此態樣中,可實質上保留蝕刻遮罩的關鍵尺寸。在一些例子中,可刻意形成非順應膜於結構側壁上以增加蝕刻遮罩的關鍵尺寸,其可縮小蝕刻遮罩之間的溝槽寬度。
一些實施例提供的方法包括形成第一遮罩層於下方層上;圖案化第一遮罩層以形成第一開口;形成非順應膜於第一遮罩層上,其中形成於第一遮罩層之上表面上的非順應膜之第一厚度,大於形成於第一遮罩層之側壁表面上的非順應膜之第二厚度;進行除渣製程,其中除渣製程移除第一開口中的非順應膜之部份;以及採用圖案化的第一遮罩層與非順應膜的保留部份作為蝕刻遮罩,並蝕刻下方層。在一實施例中,圖案化第一遮罩層的步驟包括採用極紫外線光微影製程圖案化第一遮罩層。在一實施例中,形成非順應膜的步驟包括形成順應膜於第一開口的下表面上。在一實施例中,除渣製程蝕刻下方層的部份。在一實施例中,第一厚度大於形成於第一開口之下表面上的非順應膜之第三厚度。在一實施例中,第一厚度介於約5nm至約10nm之間,而第二厚度介於約1nm至約3nm之間。在一實施例中,形成非順應膜的步驟包括採用電漿增強原子層沉積製程沉積非順應膜。在一實施例中,電漿增強原子層沉積製程時採用雙(二乙基胺基)矽烷作為前驅物。在一實施例中,非順應膜包括碳氮化矽。在一實施例中,第一遮罩層為三層光阻的頂層。在一實施例中,除渣製程包括灰化製程。
一些實施例提供之方法包括形成三層結構於基板上,且三層結構包括上側層、中間層、與底層;圖案化上側層以形成第一遮罩圖案,且第一遮罩圖案包括第一開口;沉積保護層,且保護層自第一遮罩圖案的上表面上延伸至第一開口中的中間層的上表面上,其中第一遮罩圖案之上表面上的保護層之第一部份,比第一開口中的中間層之上表面上的保護層之第二部份厚;進行除渣製程,以移除保護層的第二部份;採用第一遮罩圖案與保護層的保留部份作為蝕刻遮罩,並蝕刻中間層以形成第二遮罩圖案;以及採用第二遮罩圖案作為蝕刻遮罩,並蝕刻底層以形成第三遮罩圖案。在一實施例中,保護層包括碳氮化矽。在一實施例中,除渣製程蝕刻第一開口中的中間層之上表面。在一實施例中,保護層的第一部份之厚度與保護層的第二部份之厚度之間的比例介於3:1至5:1之間。在一實施例中,沉積保護層的步驟包括:將基板置入沉積腔室中。在一實施例中,沉積保護層的步驟亦包括進行一或多道沉積循環,且每一沉積循環包括:使一或多種前驅物材料流入沉積腔室;使一或多種淨化氣體流入沉積腔室,以淨化沉積腔室的前驅物材料;點燃沉積腔室中的電漿;以及使一或多種淨化氣體流入沉積腔室,以淨化沉積腔室。在一實施例中,方法更包括採用第三遮罩圖案作為蝕刻遮罩,並蝕刻第二開口於基板中。在一實施例中,方法更包括沉積導電材料如第二開口中,以形成導電線路於基板中。
一些實施例提供的方法包括圖案化溝槽於介電層上的光阻層中;形成非順應膜於溝槽上,其中與溝槽相鄰的非順應膜之部份,比溝槽中的非順應膜之部份厚;移除溝槽中的殘留物;以及蝕刻介電層以延伸溝槽至介電層中。在一實施例中,與溝槽相鄰的非順應膜之部份的厚度,大於溝槽中的非順應膜之部份的厚度的約三倍。在一實施例中,溝槽寬度為約20nm。在一實施例中,移除溝槽中的殘留物之步驟包括電漿製程。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明精神與範疇的前提下進行改變、替換、或更動。
D1‧‧‧深度H2、H3‧‧‧高度T1、T1’‧‧‧頂部厚度T2、T2’‧‧‧側壁厚度T3‧‧‧溝槽厚度W1‧‧‧溝槽寬度W2、W3‧‧‧寬度100‧‧‧半導體裝置102‧‧‧目標層104‧‧‧半導體基板106‧‧‧抗反射塗層108‧‧‧硬遮罩層110‧‧‧介電層112‧‧‧底層114‧‧‧中間層116‧‧‧上側層118、518‧‧‧非順應膜120‧‧‧三層遮罩層122、150‧‧‧開口126‧‧‧上側遮罩162‧‧‧襯墊層164‧‧‧導電材料170‧‧‧導電結構200‧‧‧沉積系統203‧‧‧沉積腔室205‧‧‧第一前驅物輸送系統206‧‧‧第二前驅物輸送系統207‧‧‧氣體供應源208‧‧‧反應物輸送系統209‧‧‧氣流控制器213‧‧‧氣體控制器214‧‧‧淨化氣體輸送系統215‧‧‧控制單元216‧‧‧歧管217‧‧‧噴灑頭219‧‧‧殼體220‧‧‧第一電極221‧‧‧安裝平台222‧‧‧第一射頻產生器223‧‧‧真空泵浦225‧‧‧排氣出口227‧‧‧上電極229‧‧‧第二射頻產生器301‧‧‧處理器303‧‧‧顯示器305‧‧‧輸入/輸出構件306‧‧‧中央處理器308‧‧‧記憶體310‧‧‧大量資料儲存裝置312‧‧‧匯流排314‧‧‧顯示卡316‧‧‧輸入/輸出介面318‧‧‧網路介面320‧‧‧區域網路或廣域網路514‧‧‧層狀物516‧‧‧結構
圖1與2係一些實施例中,製作半導體裝置的多種中間階段之剖視圖。 圖3係一些實施例中,沉積腔室的圖式。 圖4係一些實施例中,用於沉積腔室的控制單元之圖式。 圖5A至5C係一些實施例中,製作半導體裝置的多種中間階段之剖視圖。 圖6至17係一些實施例中,製作半導體裝置的多種中間階段之剖視圖。
T1‧‧‧頂部厚度
T2‧‧‧側壁厚度
T3‧‧‧溝槽厚度
514‧‧‧層狀物
516‧‧‧結構
518‧‧‧非順應膜

Claims (1)

  1. 一種圖案化半導體裝置的方法,包括: 形成一第一遮罩層於一下方層上; 圖案化該第一遮罩層以形成一第一開口; 形成一非順應膜於該第一遮罩層上,其中形成於該第一遮罩層之上表面上的該非順應膜之一第一厚度,大於形成於該第一遮罩層之側壁表面上的該非順應膜之一第二厚度; 進行一除渣製程,其中該除渣製程移除該第一開口中的該非順應膜之部份;以及 採用圖案化的該第一遮罩層與該非順應膜的保留部份作為一蝕刻遮罩,並蝕刻該下方層。
TW108107158A 2018-06-15 2019-03-05 圖案化半導體裝置的方法 TW202001991A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/010,352 US10867839B2 (en) 2018-06-15 2018-06-15 Patterning methods for semiconductor devices
US16/010,352 2018-06-15

Publications (1)

Publication Number Publication Date
TW202001991A true TW202001991A (zh) 2020-01-01

Family

ID=68838779

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108107158A TW202001991A (zh) 2018-06-15 2019-03-05 圖案化半導體裝置的方法

Country Status (3)

Country Link
US (3) US10867839B2 (zh)
CN (1) CN110610898A (zh)
TW (1) TW202001991A (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10734227B2 (en) * 2018-08-31 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10971362B2 (en) * 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
US10867794B2 (en) * 2019-03-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor devices and structures resulting therefrom
KR102318516B1 (ko) * 2019-06-21 2021-10-28 한양대학교 에리카산학협력단 물질막 및 타겟 패턴의 선택적 제조 방법
CN111276389A (zh) * 2020-02-14 2020-06-12 上海华虹宏力半导体制造有限公司 Bcd工艺中形成衬垫氧化层的方法
CN113363149B (zh) * 2020-03-05 2023-02-21 中芯国际集成电路制造(深圳)有限公司 半导体器件的形成方法
CN113808910A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 刻蚀腔室中原位类原子层沉积方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US20080286698A1 (en) * 2007-05-18 2008-11-20 Haoren Zhuang Semiconductor device manufacturing methods
KR20100044029A (ko) * 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2010141199A (ja) * 2008-12-12 2010-06-24 Elpida Memory Inc 多層マスクの除去方法および半導体装置の製造方法
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
KR101771138B1 (ko) * 2011-05-13 2017-08-25 삼성전자주식회사 와이어 그리드 편광자, 상기 와이어 그리드 편광자의 제조 방법 및 상기 와이어 그리드 편광자를 포함하는 디스플레이 패널
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
CN104253027B (zh) * 2013-06-26 2017-08-25 中芯国际集成电路制造(上海)有限公司 双重图形及其形成方法
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9093386B2 (en) * 2013-11-20 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-damage-free etching
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method

Also Published As

Publication number Publication date
US20230260829A1 (en) 2023-08-17
US20190385902A1 (en) 2019-12-19
US11676852B2 (en) 2023-06-13
US10867839B2 (en) 2020-12-15
CN110610898A (zh) 2019-12-24
US20210134656A1 (en) 2021-05-06

Similar Documents

Publication Publication Date Title
TW202001991A (zh) 圖案化半導體裝置的方法
TWI828619B (zh) 半導體裝置製造中之氧化錫膜
KR102630349B1 (ko) 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US7888267B2 (en) Method for etching silicon-containing ARC layer with reduced CD bias
TWI734031B (zh) 半導體裝置的形成方法
CN114270479B (zh) 交替蚀刻与钝化工艺
JP2014512096A (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
US20090137125A1 (en) Etching method and etching apparatus
KR20140029501A (ko) 미세 패턴의 형성 방법
KR102110280B1 (ko) 고 종횡비 갭 충전
TW202109618A (zh) 圖案化半導體裝置的方法
JP2005045053A (ja) 半導体装置の製造方法
US7435681B2 (en) Methods of etching stacks having metal layers and hard mask layers
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
US20240047223A1 (en) Substrate processing method and substrate processing apparatus
TWI838003B (zh) 半導體裝置製造中之氧化錫膜