CN110610898A - 图案化半导体装置的方法 - Google Patents

图案化半导体装置的方法 Download PDF

Info

Publication number
CN110610898A
CN110610898A CN201910156440.9A CN201910156440A CN110610898A CN 110610898 A CN110610898 A CN 110610898A CN 201910156440 A CN201910156440 A CN 201910156440A CN 110610898 A CN110610898 A CN 110610898A
Authority
CN
China
Prior art keywords
layer
precursor
compliant film
thickness
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910156440.9A
Other languages
English (en)
Inventor
王伟任
潘兴强
张竞予
蔡万霖
许仲豪
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110610898A publication Critical patent/CN110610898A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明实施例提供半导体装置与其形成方法。方法包括形成第一遮罩层于下方层上;图案化第一遮罩层以形成第一开口;形成非顺应膜于第一遮罩层上,其中形成于第一遮罩层的上表面上的非顺应膜的第一厚度,大于形成于第一遮罩层的侧壁表面上的非顺应膜的第二厚度;进行除渣制程,其中除渣制程移除第一开口中的非顺应膜的部分;以及采用图案化的第一遮罩层与非顺应膜的保留部分作为蚀刻遮罩,并蚀刻下方层。

Description

图案化半导体装置的方法
技术领域
本发明实施例关于图案化半导体装置的方法,更特别关于形成非顺应膜于图案化的遮罩层上。
背景技术
半导体装置用于多种电子应用如个人电脑、手机、数码相机、与其他电子设备中。半导体装置的制作方法通常为按序沉积绝缘或介电层、导电层、与半导体层的材料于半导体基板上,并采用光刻与蚀刻制程图案化多种材料层,以形成电路构件与单元于半导体基板上。
半导体产业持续缩小最小结构尺寸,以持续改善多种电子构件(如晶体管、二极管、电阻、电容、或类似物)的集成密度,可将更多构件整合至给定面积。然而随着最小结构尺寸缩小,每一制程中也产生需解决的额外问题
发明内容
本发明一实施例提供图案化半导体装置的方法,包括:形成第一遮罩层于下方层上;图案化第一遮罩层以形成第一开口;形成非顺应膜于第一遮罩层上,其中形成于第一遮罩层的上表面上的非顺应膜的第一厚度,大于形成于第一遮罩层的侧壁表面上的非顺应膜的第二厚度;进行除渣制程,其中除渣制程移除第一开口中的非顺应膜的部分;以及采用图案化的第一遮罩层与非顺应膜的保留部分作为蚀刻遮罩,并蚀刻下方层。
附图说明
图1与图2是一些实施例中,制作半导体装置的多种中间阶段的剖视图。
图3是一些实施例中,沉积腔室的附图。
图4是一些实施例中,用于沉积腔室的控制单元的附图。
图5A至图5C是一些实施例中,制作半导体装置的多种中间阶段的剖视图。
图6至图17是一些实施例中,制作半导体装置的多种中间阶段的剖视图。
其中,附图标记说明如下:
D1 深度
H2、H3 高度
T1、T1’ 顶部厚度
T2、T2’ 侧壁厚度
T3 沟槽厚度
W1 沟槽宽度
W2、W3 宽度
100 半导体装置
102 目标层
104 半导体基板
106 抗反射涂层
108 硬遮罩层
110 介电层
112 底层
114 中间层
116 上侧层
118、518 非顺应膜
120 三层遮罩层
122、150 开口
126 上侧遮罩
162 衬垫层
164 导电材料
170 导电结构
200 沉积系统
203 沉积腔室
205 第一前驱物输送系统
206 第二前驱物输送系统
207 气体供应源
208 反应物输送系统
209 气流控制器
213 气体控制器
214 净化气体输送系统
215 控制单元
216 歧管
217 喷洒头
219 壳体
220 第一电极
221 安装平台
222 第一射频产生器
223 真空泵浦
225 排气出口
227 上电极
229 第二射频产生器
301 处理器
303 显示器
305 输入/输出构件
306 中央处理器
308 存储器
310 大量数据存储装置
312 总线
314 显示卡
316 输入/输出接口
318 网络接口
320 区域网络或广域网络
514 层状物
516 结构
具体实施方式
下述内容提供的不同实施例可实施本公开的不同结构。特定构件与排列的实施例是用以简化本公开而非局限本公开。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者之间隔有其他额外构件而非直接接触。此外,本公开的多种例子中可重复标号,但这些重复仅用以简化与清楚说明,不代表不同实施例及/或设置之间具有相同标号的单元之间具有相同的对应关系。
此外,空间性的相对用语如“下方”、“其下”、“较下方”、“上方”、“较上方”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90或其他角度,因此方向性用语仅用以说明图示中的方向。
图1、图2、与图6至图17是一些例示性实施例中,形成结构于半导体装置100上的目标层102中的多种中间阶段的剖视图。在一些实施例中,目标层102为即将形成图案于其中的层状物。在一些实施例中,进行制程的半导体装置100为较大晶圆的一部分。在这些实施例中,形成半导体装置100的多种结构(如主动装置、内连线结构、与类似物)之后,可对晶圆的切割线区域施加切割制程,以自晶圆分隔(或切割)个别的半导体晶粒。
在一些实施例中,目标层102为半导体基板。半导体基板的组成可为半导体材料如硅、硅锗、或类似物。在一些实施例中,半导体基板为结晶半导体基板,比如结晶硅基板、结晶碳化硅基板、结晶硅锗基板、III-V族半导体化合物基板、或类似物。可依实施例的制程图案化半导体基板,并采用后续制程形成浅沟槽隔离区于基板中。半导体鳍状物可自浅沟槽隔离区之间凸起。源极/漏极区可形成于半导体鳍状物中,而栅极介电层与栅极层可形成于鳍状物的通道区上,以形成半导体装置如鳍状场效晶体管。
在图1中,膜堆叠包含目标层102,且形成于半导体装置100中。在一些实施例中,目标层102可形成于半导体基板104上。半导体基板104的组成可为半导体材料如掺杂或未掺杂的硅,或绝缘层上半导体基板的主动层。半导体基板104可包含其他半导体材料如锗、半导体化合物(包含碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、及/或锑化铟)、半导体合金(包含硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、及/或磷砷化镓铟)、或上述的组合。此外亦可采用其他基板如多层基板或组成渐变基板。可形成装置(未图示)如晶体管、二极管、电容、电阻、或类似物于半导体基板104的主动表面之中及/或之上。在其他实施例中,目标层102为用于形成鳍状场效晶体管的半导体基板,并可省略半导体基板104。
虽然图1中的目标层102物理接触半导体基板104,但目标层102与半导体基板104之间可夹设任意数目的中介层。这些中介层可包括层间介电层(包含低介电常数介电层与接点插塞形成其中)、其他金属间介电层(具有导电线路及/或通孔形成其中)、一或多个中间层(如蚀刻停止层、粘着层、或类似物)、上述的组合、或类似物。举例来说,蚀刻停止层(未图示)可视情况直接位于目标层102下。蚀刻停止层可作为在目标层102上进行的后续蚀刻制程的停止层。用于形成蚀刻停止层的材料与制程,可取决于目标层102的材料。在一些实施例中,蚀刻停止层的组成可为氮化硅、氮氧化硅、碳氮氧化硅、碳化硅、碳氧化硅、碳氮化硅、氧化硅、其他介电层、上述的组合、或类似物,且其形成方法可为等离子体增强化学气相沉积、低压化学气相沉积、物理气相沉积、或类似方法。此外可采用其他材料与制程。
膜堆叠亦可包含抗反射涂层106形成于目标层102上。抗反射涂层106在图案化光阻层时,有助于曝光与聚焦上方的光阻层(如下述)。在一些实施例中,抗反射涂层106的组成可为氮氧化硅、碳化硅、掺杂氧与氮的材料、或类似物。在一些实施例中,抗反射涂层106实质上不含氮,且组成可为氧化物。在这些实施例中,抗反射涂层106亦可称作无氮抗反射涂层。在一些实施例中,抗反射涂层106的材料组成可择以避免反射。抗反射涂层106的形成方法可为等离子体增强化学气相沉积、高密度等离子体沉积、或类似方法。此外可采用其他制程与材料。
膜堆叠还包括硬遮罩层108形成于抗反射涂层106与目标层102上。硬遮罩层108的组成材料可包含金属(如氮化钛、钛、氮化钽、钽、掺杂金属的碳化物如碳化钨、或类似物)及/或非金属(如氮化硅、氮化硼、碳化硅、或类似物)。在一些实施例中,硬遮罩层108的材料组成可取决于相对于下方层的高蚀刻选择性,比如相对于抗反射涂层106及/或目标层102。硬遮罩层108的形成方法可为物理气相沉积、射频物理气相沉积、原子层沉积、等离子体增强原子层沉积、或类似方法。此外可采用其他制程与材料。在后续制程步骤中,可采用实施例的图案化制程以形成遮罩图案于硬遮罩层108中。接着以硬遮罩层108作为蚀刻目标层102的蚀刻遮罩,并将硬遮罩层108的图案转移至目标层102。
膜堆叠亦可包含介电层110形成于硬遮罩层108上。在后续制程中,介电层110可用于形成多个间隔物,其可用于图案化目标层。介电层110的组成可为氧化硅,比如硼磷硅酸盐四乙氧硅烷或未掺杂的四乙氧硅烷的氧化物,且其形成方法可为化学气相沉积、原子层沉积、等离子体增强原子层沉积、旋转涂布、或类似方法。此外可采用其他制程或材料。
遮罩层可形成于介电层110上。在一些实施例中,可采用三层结构如三层遮罩层120。三层遮罩层120形成于介电层110上的膜堆叠上。三层遮罩层120包含底层112、底层112上的中间层114、与中间层114上的上侧层116。上侧层116的组成可为光阻(如光敏材料),其包含有机材料,且可为正型光敏材料或负型光敏材料。在一些实施例中,底层112的组成可为聚合物。底层112亦可为底抗反射涂层。中间层114可包含无机材料,其可为氮化物(如氮化硅)、氮氧化物(如氮氧化硅)、氧化物(如氧化硅)、或类似物。中间层114相对于上侧层116与底层112,可具有高蚀刻选择性。三层遮罩层120的多种层状物可按序毯覆性地沉积,其沉积方法可采用旋转涂布制程。此外可采用其他制程与材料。虽然此处说明的是三层遮罩层120,但其他实施例的三层遮罩层120实际上可为单层遮罩层或双层遮罩层(比如只包含底层112与上侧层116,而不具有中间层114)。遮罩层的种类(如单层遮罩层、双层遮罩层、或三层遮罩层)可取决于图案化介电层110所用的光刻制程。举例来说,在一些极紫外线光刻制程中,可采用单层遮罩层或双层遮罩层。
在一些实施例中,采用光刻制程图案化上侧层116。接着以上侧层116作为图案化中间层114所用的蚀刻遮罩(见图2)。接着以中间层114作为图案化底层112所用的蚀刻遮罩,再采用底层112图案化介电层110(见图3)。采用三层光阻(如三层遮罩层120)蚀刻目标层(如介电层110),可改良目标层(如介电层110)中细小间距图案的定义。
采用任何合适的光刻制程图案化上侧层116,以形成开口122于其中。上侧层116的保留部分即上侧遮罩126。开口122可定义上侧遮罩126之间的沟槽,其具有沟槽宽度W1。在图案化开口122于上侧层116中的一例中,可将掩模(未图示)置于上侧层116上。接着在掩模遮罩上侧层116的一些区域时,以射线束曝光上侧层116,且射线束包括紫外线或准分子激光(如248nm的氟化氪准分子激光、193nm的氟化氩准分子激光、157nm的氟气准分子激光、或类似准分子激光)。曝光顶光阻层的方法可采用浸润式光刻系统或极紫外线光刻系统,以增加分辨率并缩小最小间距。此外可进行一或多道光刻步骤。可进行烘烤或硬化步骤以硬化上侧层116,且可采用显影剂移除上侧层116的曝光或未曝光部分,端视采用的光阻为正型光阻或负型光阻。开口122在平面图中可为带状(未图示)。在一些例子中,开口122的最小沟槽宽度W1可为约19nm。此外亦可实施开口122的其他宽度。
图2亦显示非顺应膜118形成于上侧遮罩126与中间层114上。在一些实施例中,非顺应膜118的组成可为碳氮化硅、氮化硅、氮氧化硅、碳氮氧化硅、碳化硅、碳氧化硅、碳氮化硅、氧化硅、其他介电层、上述的组合、或类似物,且其形成方法可为等离子体增强化学气相沉积、低压化学气相沉积、物理气相沉积、原子层沉积、等离子体增强原子层沉积、或类似方法。此外可采用其他材料与制程。非顺应膜118以非顺应的方式形成,因此上侧遮罩126与中间层114的不同表面上具有不同沉积厚度的非顺应膜118。举例来说,形成于上侧遮罩126的上表面上的非顺应膜118其厚度(如顶部厚度T1),可大于形成于上侧遮罩126的侧壁上的非顺应膜118其厚度(如侧壁厚度T2)或形成于中间层114上的非顺应膜118其厚度(如沟槽厚度T3)。举例来说,一些实施例的顶部厚度T1比约两倍至约三倍的侧壁厚度T2厚,但其他实施例中的顶部厚度T1与侧壁厚度T2之间的相对厚度可不同。
图3与4显示的沉积系统200可用于形成非顺应膜118,其采用原位的顺应性沉积技术如原子层沉积或等离子体增强原子层沉积。在一实施例中,沉积系统200自第一前驱物输送系统205接收第一前驱物材料,及/或自第二前驱物输送系统206接收第二前驱物材料。在一实施例中,第一前驱物输送系统205与第二前驱物输送系统206可彼此合作,以供应多种不同的前驱物材料至放置半导体装置100于其中的沉积腔室203。第一前驱物输送系统205与第二前驱物输送系统206具有的物理构件可彼此相同。在其他实施例中,可采用较少或较多的前驱物输送系统。
举例来说,第一前驱物输送系统205与第二前驱物输送系统206可各自包含气体供应源207与气流控制器209。在一实施例中,以气相存储第一前驱物材料,而气体供应源207可供应第一前驱物材料至沉积腔室203。气体供应源207可为容器如气体存储槽,其可位于制程腔室203所在处,或可远离制程腔室203。另一方面,气体供应源207可为独立准备并输送第一前驱物材料至气流控制器209的设施。用于第一前驱物材料的任何合适来源可作为气体供应源207,且这些来源完全包含于实施例的范围。
气体供应源207可供应所需的前驱物至气流控制器209。气流控制器209可用于控制前驱物至气体控制器213的气流,以及最后至沉积腔室203的气流,以助控制沉积腔室203中的压力。气流控制器209可为分压、调整阀、针阀、压力调节器、质流控制器、上述的组合、或类似物。然而可采用任何合适的方法控制或调整前驱物材料的气流,且这些构件与方法完全包含于实施例的范围。
然而本技术领域中技术人员应理解,虽然此处所述的第一前驱物输送系统205与第二前驱物输送系统206具有相同构件,但此仅用于举例说明而非局限实施例。沉积系统200中可改用任何种类的合适前驱物输送系统,其具有任何种类或任何数目的个别构件,且每一前驱物输送系统的个别构件可相同或不同。这些前驱物输送系统完全包含于实施例的范围中。
此外,在存储固态或液态的第一前驱物的实施例中,气体供应器207可存储载气,且载气可导入存储液态或气态的第一前驱物的前驱物罐(未图示)。接着在将前驱物罐中蒸发或升华为气相的第一前驱物送入气体控制器213之前,采用载气推送与承载第一前驱物。可采用任何合适方法与单位的组合以提供第一前驱物,且这些单位的组合完全包含于实施例的范围。在一些实施例中,载气可为氮气、氦气、氩气、上述的组合、或类似物,但亦可改用其他合适载气。
第一前驱物输送系统205与第二前驱物输送系统206可供应个别的前驱物材料至气体控制器213。气体控制器213连接并隔离第一前驱物输送系统205、第二前驱物输送系统206、反应物输送系统208、与沉积腔室203,以输送所需的前驱物材料至沉积腔室203。气体控制器213可包含装置如阀件、流速计、感测器、或类似物,以控制每一前驱物的输送流速。气体控制器213可接收来自控制单元215(将搭配图3说明如下)的指令,而指令可控制气体控制器213。在一些实施例中,净化气体输送系统214可连接至气体控制器213,并提供净化气体至沉积腔室203。净化气体输送系统214可包含气体槽或其他设施,其可提供净化气体如氮气、氦气、氩气、氙气、上述的组合、或类似物,但亦可改用其他合适的净化气体。
气体控制器213可接收来自控制单元215的指令,并可开启或关闭阀件,以连接一或多个第一前驱物输送系统205或第二前驱物输送系统206至沉积腔室203,并经由歧管216将所需的前驱物材料导入喷洒头217至沉积腔室203。喷洒头217可用于分散选用的前驱物材料至沉积腔室203中,并可设计为均匀分散前驱物材料,使不均匀分散造成的制程问题最小化。在一实施例中,喷洒头217可具有圆形设计,其开口可均匀分布于喷洒头217,以分散所需的前驱物材料至沉积腔室203中。
然而如本技术领域中技术人员所知,经由单一喷洒头或单一导入点将前驱物材料导入沉积腔室203如前述,仅用以举例说明而非局限实施例。可改用任何数目的分开独立喷洒头217或其他开口,以将前驱物材料导入沉积腔室203中。喷洒头与其他导入点的这些组合,完全包含于实施例的范围。
沉积腔室203可接收所需的前驱物材料,并暴露前驱物材料至半导体装置100。沉积腔室203可为任何所需形状,其适于分散前驱物材料并使前驱物材料接触半导体装置100。在图3所示的实施例中,沉积腔室203具有圆筒状的侧壁与底部。然而沉积腔室203不限于圆筒状,而可采用任何其他的合适形状如中空方管、八角形、或类似形状。此外,围绕沉积腔室203的壳体219其材料组成,对多种制程材料属钝性。如此一来,壳体219可为任何合适材料以承受沉积制程时的化学品与压力。在一实施例中,壳体219可为钢、不锈钢、镍、铝、上述的合金、上述的组合、或类似物。
在沉积腔室203中,半导体装置100可置于安装平台221上,以在沉积制程时安置及控制半导体装置100。安装平台221可包含加热机制,以在沉积制程时加热半导体装置100。此外,虽然图3仅显示单一的安装平台221,但沉积腔室203中可包含任何数目的额外安装平台221。
此外,沉积腔室203与安装平台221可为集束式工具系统(未图示)的一部分。集束工具系统可与自动处理系统一起使用,以在沉积制程的前将半导体装置100置入沉积腔室203中,在沉积制程时放置并固定半导体装置100,并在沉积制程之后自沉积腔室203移出半导体装置100。
安装平台221可额外包含第一电极220,其耦接至第一射频产生器222。在控制单元215的控制下,第一射频产生器222在沉积制程时可施加电性偏压(如射频电压)至第一电极220。通过施加电性偏压,第一电极220可用于提供偏压至进入的气相材料(如前驱物、载气、净化气体、或类似物),以在沉积制程的步骤中点燃气相材料为等离子体。此外,第一电极220在沉积制程时可维持偏压以用于维持等离子体。
沉积腔室203亦包含上电极227以作为等离子体产生器。在一些实施例中,等离子体产生器可为变压器耦合等离子体产生器且可为线圈。线圈可贴合至第二射频产生器229,其在控制单元215的控制下提供功率至上电极227,可在导入气相材料时用于点燃等离子体。虽然上述的上电极227为变压器耦合等离子体产生器,但实施例并不限于变压器耦合等离子体产生器。相反地,可改用任何合适方法产生等离子体,比如感应耦合等离子体系统、磁增强反应性离子蚀刻、电子回旋共振、远端等离子体产生器、或类似物。所有的这些方法完全包含于实施例的范围。
沉积腔室203亦可具有排气出口225,用以将废气排出沉积腔室203。真空泵浦223可连接至沉积腔室203的排气出口225,以助排出废气。在控制单元215的控制下,亦可采用真空泵浦223降低并控制沉积腔室203中的压力至所需压力,并自沉积腔室203抽出前驱物材料或反应副产物,以准备进行沉积制程的另一步骤。
图4是一实施例中,可用于控制气体控制器213与真空泵浦223(如图3所示)的控制单元215。控制单元215可为任何形式的电脑处理器,其可用于工业设定以控制制程机器。在一实施例中,控制单元215可包含处理器301如台式电脑、工作站、笔记本电脑、或特用的客制化单元。控制单元215可配备显示器303与一或多个输入/输出构件305(如指令输出、感测输入、鼠标、键盘、印表机、上述的组合、或类似物)。处理器301可包含连接至总线312的输入/输出接口316、中央处理器306、存储器308、大量数据存储装置310、与显示卡314。
总线312可为一或多个任何种类的多个总线结构,其包含存储器总线或存储器控制器、周边总线、或视频总线。中央处理器306可包含任何种类的电子数据处理器,且存储器308可包含任何种类的系统存储器,比如静态随机存取存储器、动态随机存取存储器、或只读存储器。大量数据存储装置310可包含设置以存储数据、程序、与其他信息的任何种类的存储装置,并可经由总线312存取数据、程序、与其他信息。举例来说,大量数据存储装置310可包含一或多个硬盘、磁盘、或光盘。
显示卡314与输入/输出接口316可提供接口,以耦接外部输入与输出装置至处理器301。如图3所示,输入与输出装置的例子包含耦接至显示卡314的显示器303,与耦接至输入/输出接口316的输入/输出构件305(如鼠标、键盘、印表机、与类似物)。其他装置可耦接至处理器301,且可采用额外或较少的接口卡。举例来说,可采用串行的接口卡(未图示)以提供印表机所用的串行的接口。处理器301亦可包含网络接口318,其可为连接至区域网络或广域网络320的有线连接,及/或无线连接。
值得注意的是,控制单元215可包含其他构件。举例来说,控制单元215可包含电源、线缆、主机板、可移式存储媒介、壳体、与类似物。虽然图4未显示这些其他构件,但这些构件应视作控制单元215的一部分。
一旦分别将第一前驱物材料与第二前驱物材料置入第一前驱物输送系统205与第二前驱物输送系统206,则由控制单元215传送指令至气体控制器213,可连接第一前驱物输送系统205与第二前驱物输送系统206至沉积腔室203,以开始形成非顺应膜118。一旦连接第一前驱物输送系统205与第二前驱物输送系统206至沉积腔室203,则上述前驱物输送系统可经由气体控制器213与歧管216输送第一前驱物材料与第二前驱物材料至喷洒头217。喷洒头217接着可分散第一前驱物材料与第二前驱物材料至沉积腔室203中,其中第一前驱物材料与第二前驱物材料可吸附于半导体装置100上并彼此反应形成非顺应膜118。在一些实施例中,只采用第一前驱物材料以形成非顺应膜118。
在特定实施例中,用于形成非顺应膜118的沉积制程可为等离子体增强原子层沉积制程,其包含数个重复的沉积循环,其中每一循环包括数个步骤。举例来说,沉积循环可包含前驱物供料步骤、前驱物净化步骤、前驱物暴露步骤、与前驱物净化步骤。在前驱物供料步骤中,一或多个前驱物材料流入沉积腔室203中。在前驱物供料步骤中,前驱物材料可吸附至半导体装置100的一些露出表面上。举例来说,可将第一前驱物材料导入沉积腔室203中,使第一前驱物材料吸附至上侧遮罩126的表面、中间层114的表面、的前沉积循环所形成的材料表面、或其他表面上。在一些例子中,可将超过一种的前驱物材料同时导入沉积腔室203中。第一前驱物材料可与位于露出表面上的开放活性位点反应。然而一旦露出表面上所有的开放活性位点与第一前驱物材料反应即停止反应,因为没有更多开放活性位点可与第一前驱物材料键结。在一些例子中,可自我限制第一前驱物材料的反应,使反应的前驱物材料的单层形成于露出表面上,以更精准地控制不同表面上的非顺应膜118的厚度。在一些实施例中,前驱物材料可流入沉积腔室203中,其流速可介于约200sccm至约20000sccm之间,比如约4000sccm。此外,净化气体的流速可设定为约200sccm至约20000sccm之间,比如约4000sccm。在一些实施例中,沉积腔室203中的压力可介于约2mTorr至约10mTorr之间,比如约4mTorr。在一些实施例中,沉积腔室203的温度可介于约50℃至约150℃之间,比如约75℃。
在净化前驱物的步骤中,可净化沉积腔室203中,前驱物供料步骤的一些前驱物材料,举例来说,控制单元215可下指令至气体控制器213,以与第一前驱物输送系统205及/或第二前驱物输送系统206断线。气体控制器213可连接净化气体输送系统214,以输送净化气体至沉积腔室203。此外,控制单元215亦可开启真空泵浦以施加压力差至沉积腔室203,可助移除前驱物材料。在一些实施例中,净化气体自沉积腔室203净化前驱物材料的时间可为约0.1秒或更长。在一些实施例中,净化气体的流速可设定为介于约200sccm至约20000sccm之间,比如约4000sccm。在一些实施例中,沉积腔室203中的压力可介于约2mTorr至约10mTorr之间,比如约4mTorr。在一些实施例中,沉积腔室203的温度可介于约50℃至约150℃之间,比如约75℃。
在等离子体暴露步骤中,控制单元215进行点燃步骤,以点燃沉积腔室203中的材料成等离子体。可自净化气体、前驱物材料、或导入沉积腔室203中的另一材料点燃成等离子体。点燃等离子体所形成的自由基可与的前吸附的前驱物材料反应,可制备吸附的前驱物材料表面,其用于在后续循环时吸附材料。制备表面的步骤在后续循环时,可让相同种类的前驱物材料或另一种类的前驱物材料吸附至制备的表面上。在一些实施例中,点火等离子体的射频功锊介于约2MHz至约20MHz之间,比如约13.56MHz。等离子体可维持约0.1秒至约2秒之间,比如约1秒。在一些实施例中,沉积腔室203中的压力可维持在约2mTorr至约10mTorr之间,比如约4mTorr。在一些实施例中,等离子体暴露步骤时的净化气体流入的流速介于约200sccm至约20000sccm之间,比如约4000sccm。在一些实施例中,沉积腔室203的温度可介于约50℃至约150℃之间,比如约75℃。
在等离子体净化步骤中,可净化沉积腔室203的多余前驱物材料、等离子体反应副产物、或等离子体暴露步骤之后的其他材料。举例来说,气体控制器213可连接净化气体输送系统214以输送净化气体至沉积腔室203。此外,控制单元215亦可开启真空泵浦223以施加压力差至沉积腔室203,可助移除沉积腔室203中的前驱物材料。在一些实施例中,净化气体自沉积腔室203净化第一前驱物的时间可为约0.1秒或更长。在一些实施例中,净化气体的流速可设定为介于约200sccm至约20000sccm之间,比如约4000sccm。在一些实施例中,沉积腔室203中的压力可介于约2mTorr至约10mTorr之间,比如约4mTorr。在一些实施例中,沉积腔室203的温度可介于约50℃至约150℃之间,比如约75℃。
在完成沉积循环之后,可重复另一沉积循环。在此态样中,可按序进行沉积循环多次以沉积非顺应膜118的材料。在一些例子中,可交错采用第一前驱物材料的第一沉积循环与采用第二前驱物材料的第二沉积循环。在其他例子中,可采用额外前驱物与相关的沉积循环。在其他例子中,可进行采用处理气体的沉积循环,以制备露出表面以用于后续的沉积循环。
在一些实施例中,图3与4与示的沉积系统200可用于沉积非顺应膜(如图2与图5A至6所示的非顺应膜118)。在一些实施例中,非顺应膜118可包含碳氮化硅,但非顺应膜118亦可包含其他材料如多晶硅、氧化硅、氧化钛、非晶碳、或其他物。在一些例子中,碳氮化硅膜亦可具有一些氧或氢。举例来说,碳氮化硅的非顺应膜118在暴露至大气时可与氧反应。在一些实施例中,碳氮化硅的非顺应膜118的形成方法可采用一或多个前驱物材料,比如SAM-24(双(二乙基胺基)硅烷)、三(二甲基胺基)硅烷、双(第三丁基胺基)硅烷、二(异丙基胺基)硅烷、或另一种前驱物材料。在一实施例中,为形成碳氮化硅的非顺应膜118,前驱物供料步骤中的第一前驱物材料流入沉积腔室203的流速可为约4000sccm,且流入时间为约0.2秒。此外,沉积腔室203的压力可维持为约4mTorr,而温度可维持为约75℃。前驱物的净化步骤可包含流入净化气体,其流速为约4000sccm且时间为约0.1秒。此外,沉积腔室203的压力可维持在约4mTorr,而温度可维持在约75℃。等离子体暴露步骤的等离子体产生器功率可为约13.56MHz,且可维持等离子体约1秒钟。在一些实施例中,可在等离子体暴露步骤时流入氮气的净化气体以产生氮等离子体,但亦可采用其他种类的等离子体。此外,沉积腔室203的压力可维持在约4mTorr,而温度可维持在约75℃。等离子体净化步骤可包含流入净化气体,其流速为约4000sccm而时间为约0.8秒。此外,沉积腔室203的温度可维持约75℃。然而本技术领域中技术人员应理解,这些制程条件仅用以说明,而任何合适的制程条件仍属于实施例的范围。
在一些实施例中,非顺应膜118形成于不同表面上的不同部分可具有不同厚度。如图5A至5C所示的例子,结构516形成于层状物514上,而非顺应膜518形成于结构516上。在此处所述的内容中,非顺应膜518可与非顺应膜118类似,结构516可与上侧遮罩126类似,而层状物514可与中间层114类似。举例来说,结构516可为图案化光阻或另一种类的结构,且可具有高度H2与宽度W2。可采用此处所述的技术沉积非顺应膜518,但亦可采用其他种类的膜或其他技术。如图5A所示的例子,结构516的上表面上的非顺应膜518的顶部厚度T1,可大于结构516的侧壁上的非顺应膜518的侧壁厚度T2。非顺应膜518的顶部厚度T1,亦可大于层状物514的表面上的非顺应膜518的沟槽厚度T3。在一些例子中,侧壁厚度T2可大于或等于沟槽厚度T3。举例来说,非顺应膜518的顶部厚度T1可介于约5nm至约10nm之间,侧壁厚度T2可介于约1nm至约3nm之间,而沟槽厚度T3可介于约1nm至约3nm之间。这些内容仅用以举例,此处所述的非顺应膜可具有其他厚度,而其他例子中的非顺应膜可较薄或较厚。在一些例子中,顶部厚度T1等于约三倍的侧壁厚度T2,但其他例子中的顶部厚度T1可小于或大于约三倍的侧壁厚度T2。举例来说,顶部厚度T1与侧壁厚度T2的比例(T1:T2)可介于约3:1至约5:1之间,或者顶部厚度T1与沟槽厚度T3的比例(T1:T3)可介于约3:1至约5:1之间。在一些例子中,顶部厚度T1与侧壁厚度T2之间的比例(T1:T2)或侧壁厚度T2与沟槽厚度T3之间的比例(T2:T3)较大时,除渣制程可更完整且损伤图案化结构的几率更低,如下详述。
图5B是一例中,形成于结构516的侧壁上的非顺应膜518,其靠近结构516的顶部的厚度大于其靠近结构516的底部的厚度。
图5C是另一例中,顶部厚度T1大于侧壁厚度T2,但仅有很少或甚至没有非顺应膜518形成于层状物514上。在一些实施例中,可沉积抑制材料于层状物514上,以抑制形成非顺应膜于层状物514上。如图5A至5C所示,沉积非顺膜于结构上如此处所述,结构整体高度的增加量(比如高度H2增加至高度H3),大于结构整体厚度的增加量(比如宽度W2增加至宽度W3)。
在一些实施例中,可控制沉积循环或非顺应膜形成其上的结构轮廓,以控制非顺应膜的沉积部分的厚度差异。在一些实施例中,可控制每一沉积循环步骤的时间,使非顺应膜的顶部厚度T1大于侧壁厚度T2。举例来说,随着增加前驱物供料步骤的时间、减少前驱物净化步骤的时间、或增加等离子体暴露步骤的等离子体维持时间,可增加顶部厚度T1的成长厚度。
在一些实施例中,亦可控制结构轮廓以影响非顺应膜的厚度。举例来说,减少两结构之间的沟槽宽度W1(比如较窄的沟槽宽度W1如图1所示),可让顶部厚度T1大于侧壁厚度T2或沟槽厚度T3。举例来说,一些例子的沟槽宽度W1小于或等于约20nm,可让非顺应膜的顶部厚度T1大于约三倍的侧壁厚度T2。在一些例子中,缩小沟槽宽度W1会使形成于沟槽下表面的非顺应膜变薄或消失。在一些例子中,增加两个结构之间的沟槽宽度W1,会使顶部厚度T1、侧壁厚度T2、与沟槽厚度T3更类似。在一些实施例中,可采用虚置结构控制间距与厚度。举例来说,一些例子的沟槽宽度W1为约100nm或更大,可让顶部厚度T1、侧壁厚度T2、与沟槽厚度T3大致相同。在一些例子中,具有较大高宽比(如H2/W2)的结构,会让沉积于结构上的非顺应膜其顶部厚度T1大于侧壁厚度T2或沟槽厚度T3。在此态样中,通过沉积制程参数与非顺应膜形成其上的结构特性,可控制非顺应膜的非顺应特性。
在形成非顺应膜118于上侧遮罩126与中间层114上之后,可进行除渣制程。除渣制程可用于自中间层114的表面移除不需要的残留物(渣)。举例来说,在图案化上侧层116时,可能残留一些光阻残留物于中间层114的部分上。这些残留物可能干扰后续制程步骤。举例来说,在图案化中间层114时,可能无法完全蚀刻残留物所覆盖的中间层114的区域,这会造成半导体装置100中的缺陷。因此除渣制程可用于移除存在于中间层114上的任何残留物。在一些例子中,除渣制程可包含等离子体制程如氧气等离子体制程或碳氟化合物等离子体制程,但亦可采用其他种类的等离子体。在一些例子中,除渣制程为灰化制程。彻底的除渣制程可用于确认移除所有残留物。然而在一些例子中,彻底的除渣制程可能预料的外地移除上侧遮罩126的部分,这会造成缺陷(比如桥接缺陷或其他缺陷)于半导体装置100中。
通过形成非顺应膜118于上侧遮罩126上,可在彻底除渣制程时保护上侧遮罩126。图6显示进行除渣制程之后,半导体装置100的例子。在此例中,除渣制程会薄化顶部厚度T1至顶部厚度T1’、薄化侧壁厚度T2至侧壁厚度T2’、并移除任何存在于中间层114上的非顺应膜118。举例来说,除渣制程会薄化顶部厚度T1约4nm至6nm,以达顶部厚度T1’。在一些例子中,除渣制程可薄化侧壁厚度T2约1nm至约3nm,以达侧壁厚度T2’。在一些例子中,除渣制程可移除上侧遮罩126的侧壁上的大部分或所有的非顺应膜118。在一些实施例中,非顺应膜118的顶部厚度T1大于沟槽厚度T3,因此在完全移除中间层114的表面上的非顺应膜118时,可保留上侧遮罩126的顶部上的非顺应膜的部分(其具有顶部厚度T1’)。在一些例子中,形成于中间层114的表面上的非顺应膜118较薄,有助于除渣制程更易移除中间层114的表面上的非顺应膜118的部分。在一些实施例中,除渣制程的后保留一些非顺应膜118于中间层的表面上。在一些实施例中,没有非顺应膜118沉积于中间层114上,如图5C所示。如图6所示,除渣制程亦可蚀刻或移除中间层114的部分。举例来说,除渣制程移除中间层114的部分至深度D1,且一些例子中的深度D1可介于约1nm至约5nm之间。在此态样中,图案化结构上的非顺应膜可改善除渣制程,并降低损伤图案化结构的风险。
如图7所示,在图案化上侧层116与除渣制程之后,可由蚀刻制程将上侧层116的图案(如上侧遮罩126的图案)完全转移至中间层114。蚀刻制程为非等向,因此上侧层116中的开口122延伸穿过中间层114,且中间层114中的开口与上侧层116中的开口相较具有大致相同(或略小)的尺寸。上述结构如图7所示。
如图8所示,可进行蚀刻制程以将中间层114的图案转移至底层112,使开口122延伸穿过底层112。蚀刻底层112的制程为非等向,因此中间层114中的开口122延伸穿过底层112,且底层112中的开口122与中间层114中的开口122具有大致相同(或略小)的尺寸。在蚀刻底层112的制程中,可消耗上侧层116。
在图9中,采用蚀刻制程将底层112的图案转移至介电层110。蚀刻制程为非等向,因此底层112中的开口122延伸穿过介电层110,且介电层110中的开口与底层112中的开口相较具有大致相同(或略小)的尺寸。在蚀刻介电层110时,可部分地消耗中间层114与底层112。在蚀刻介电层110时未完全消耗底层112的实施例中,可进行灰化制程以移除底层112的残留物。保留结构如图10所示。
在图11中,采用介电层110作为蚀刻遮罩,图案化硬遮罩层108以形成开口150。在一些实施例中,蚀刻硬遮罩层108的步骤包括非等向的干蚀刻及/或湿蚀刻。在图案化硬遮罩层108之后,可进行湿式清洁以移除介电层110的任何残留部分,如图12所示。
接着如图13所示,可采用硬遮罩层108作为蚀刻遮罩,以延伸开口150至目标层102中。蚀刻目标层102的步骤可包括非等向干蚀刻制程及/或湿蚀刻制程,其按序蚀刻穿过抗反射涂层106至目标层102。可在单一图案化步骤中图案化目标层102。在图案化开口150之后,可进行湿式清洁制程以移除硬遮罩层108与抗反射涂层106的任何剩余部分。上述结构如图14所示。在图案化开口150于目标层102中之后,可形成结构于开口中。
如图15所示,可沿着开口150的侧壁与下表面形成一或多个衬垫层162。衬垫层162可包含氧化钛、氮化钛、氧化钽、氮化钽、或类似物,其可用于导电结构的扩散阻障层、粘着层、及/或晶种层。衬垫层的沉积方法可采用任何合适制程,比如物理气相沉积、化学气相沉积、原子层沉积、等离子体增强化学气相沉积、或类似方法。
接着如图16所示,可将导电材料164填入开口150的其余部分,且导电材料164的形成方法可采用物理气相沉积、原子层沉积、电镀、或类似方法。导电材料164可包含铜、铝、钨、或另一导电材料。可先沉积导电材料164以超填开口150,如图16所示。接着可进行平坦化制程以移除目标层102上的导电材料164的多余部分,如图17所示。在此态样中,导电结构170可形成于目标层102中。在一些实施例中,目标层102为低介电常数的介电层,而图案化的目标层102作为金属间介电层以用于内连线结构。导电结构170可为线路、通孔、接点、或插塞。在一些实施例中,线路切点可分隔导电结构170。上述仅为举例,其他结构(包含其他导电结构)亦属本发明实施例的范围。
在其他实施例中,此处所述的技术可用于图案化其他种类的层状物或形成其他种类的结构。举例来说,一些实施例的目标层102为导电层如金属层或多晶硅层,其可毯覆性地沉积。可采用此处所述的技术图案化导电层,以形成金属硬遮罩、导电线路、图案化晶种层、或其他种类的结构。实施例的图案化制程亦可用于合适种类的目标层102,以图案化鳍状场效晶体管或其他种类的晶体管的半导体栅极或虚置栅极。在其他实施例中,目标层102为层间介电层,而实施例的图案化制程可用于目标层102以形成导电结构(如线路、接点、通孔、插塞、或类似物)于目标层102中。
如上所述,采用图案化光阻上的非顺应膜(如顺应膜118),可进行更彻底的除渣制程,而不会增加损伤光阻的风险。虽然图1至2与图6至17的制程例子形成导电结构于目标层中,此处所述的技术亦可用于图案化层状物后进行除渣制程的任何制程。举例来说,在图案化光阻层后进行除渣制程以移除光阻残留物的制程中,可采用上述技术。此处所述的非顺应膜可保护图案化结构,而不会明显增加图案化结构的宽度。举例来说,形成于结构侧壁上的非顺应膜的厚度,可小于形成于结构顶部上的非顺应膜厚度。在此态样中,可实质上保留蚀刻遮罩的关键尺寸。在一些例子中,可刻意形成非顺应膜于结构侧壁上以增加蚀刻遮罩的关键尺寸,其可缩小蚀刻遮罩之间的沟槽宽度。
一些实施例提供的方法包括形成第一遮罩层于下方层上;图案化第一遮罩层以形成第一开口;形成非顺应膜于第一遮罩层上,其中形成于第一遮罩层的上表面上的非顺应膜的第一厚度,大于形成于第一遮罩层的侧壁表面上的非顺应膜的第二厚度;进行除渣制程,其中除渣制程移除第一开口中的非顺应膜的部分;以及采用图案化的第一遮罩层与非顺应膜的保留部分作为蚀刻遮罩,并蚀刻下方层。在一实施例中,图案化第一遮罩层的步骤包括采用极紫外线光刻制程图案化第一遮罩层。在一实施例中,形成非顺应膜的步骤包括形成顺应膜于第一开口的下表面上。在一实施例中,除渣制程蚀刻下方层的部分。在一实施例中,第一厚度大于形成于第一开口的下表面上的非顺应膜的第三厚度。在一实施例中,第一厚度介于约5nm至约10nm之间,而第二厚度介于约1nm至约3nm之间。在一实施例中,形成非顺应膜的步骤包括采用等离子体增强原子层沉积制程沉积非顺应膜。在一实施例中,等离子体增强原子层沉积制程时采用双(二乙基胺基)硅烷作为前驱物。在一实施例中,非顺应膜包括碳氮化硅。在一实施例中,第一遮罩层为三层光阻的顶层。在一实施例中,除渣制程包括灰化制程。
一些实施例提供的方法包括形成三层结构于基板上,且三层结构包括上侧层、中间层、与底层;图案化上侧层以形成第一遮罩图案,且第一遮罩图案包括第一开口;沉积保护层,且保护层自第一遮罩图案的上表面上延伸至第一开口中的中间层的上表面上,其中第一遮罩图案的上表面上的保护层的第一部分,比第一开口中的中间层的上表面上的保护层的第二部分厚;进行除渣制程,以移除保护层的第二部分;采用第一遮罩图案与保护层的保留部分作为蚀刻遮罩,并蚀刻中间层以形成第二遮罩图案;以及采用第二遮罩图案作为蚀刻遮罩,并蚀刻底层以形成第三遮罩图案。在一实施例中,保护层包括碳氮化硅。在一实施例中,除渣制程蚀刻第一开口中的中间层的上表面。在一实施例中,保护层的第一部分的厚度与保护层的第二部分的厚度之间的比例介于3:1至5:1之间。在一实施例中,沉积保护层的步骤包括:将基板置入沉积腔室中。在一实施例中,沉积保护层的步骤亦包括进行一或多道沉积循环,且每一沉积循环包括:使一或多种前驱物材料流入沉积腔室;使一或多种净化气体流入沉积腔室,以净化沉积腔室的前驱物材料;点燃沉积腔室中的等离子体;以及使一或多种净化气体流入沉积腔室,以净化沉积腔室。在一实施例中,方法还包括采用第三遮罩图案作为蚀刻遮罩,并蚀刻第二开口于基板中。在一实施例中,方法还包括沉积导电材料如第二开口中,以形成导电线路于基板中。
一些实施例提供的方法包括图案化沟槽于介电层上的光阻层中;形成非顺应膜于沟槽上,其中与沟槽相邻的非顺应膜的部分,比沟槽中的非顺应膜的部分厚;移除沟槽中的残留物;以及蚀刻介电层以延伸沟槽至介电层中。在一实施例中,与沟槽相邻的非顺应膜的部分的厚度,大于沟槽中的非顺应膜的部分的厚度的约三倍。在一实施例中,沟槽宽度为约20nm。在一实施例中,移除沟槽中的残留物的步骤包括等离子体制程。
上述实施例的特征有利于本技术领域中技术人员理解本发明实施例。本技术领域中技术人员应理解可采用本发明实施例作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本发明构思与范围,并可在未脱离本发明构思与范围的前提下进行改变、替换、或变动。

Claims (1)

1.一种图案化半导体装置的方法,包括:
形成一第一遮罩层于一下方层上;
图案化该第一遮罩层以形成一第一开口;
形成一非顺应膜于该第一遮罩层上,其中形成于该第一遮罩层的上表面上的该非顺应膜的一第一厚度,大于形成于该第一遮罩层的侧壁表面上的该非顺应膜的一第二厚度;
进行一除渣制程,其中该除渣制程移除该第一开口中的该非顺应膜的部分;以及
采用图案化的该第一遮罩层与该非顺应膜的保留部分作为一蚀刻遮罩,并蚀刻该下方层。
CN201910156440.9A 2018-06-15 2019-03-01 图案化半导体装置的方法 Pending CN110610898A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/010,352 2018-06-15
US16/010,352 US10867839B2 (en) 2018-06-15 2018-06-15 Patterning methods for semiconductor devices

Publications (1)

Publication Number Publication Date
CN110610898A true CN110610898A (zh) 2019-12-24

Family

ID=68838779

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910156440.9A Pending CN110610898A (zh) 2018-06-15 2019-03-01 图案化半导体装置的方法

Country Status (3)

Country Link
US (3) US10867839B2 (zh)
CN (1) CN110610898A (zh)
TW (1) TW202001991A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111276389A (zh) * 2020-02-14 2020-06-12 上海华虹宏力半导体制造有限公司 Bcd工艺中形成衬垫氧化层的方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10734227B2 (en) 2018-08-31 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10971362B2 (en) * 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
US10867794B2 (en) * 2019-03-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor devices and structures resulting therefrom
KR102318516B1 (ko) * 2019-06-21 2021-10-28 한양대학교 에리카산학협력단 물질막 및 타겟 패턴의 선택적 제조 방법
CN113363149B (zh) * 2020-03-05 2023-02-21 中芯国际集成电路制造(深圳)有限公司 半导体器件的形成方法
CN113808910A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 刻蚀腔室中原位类原子层沉积方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US20080286698A1 (en) * 2007-05-18 2008-11-20 Haoren Zhuang Semiconductor device manufacturing methods
KR20100044029A (ko) * 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2010141199A (ja) * 2008-12-12 2010-06-24 Elpida Memory Inc 多層マスクの除去方法および半導体装置の製造方法
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
KR101771138B1 (ko) * 2011-05-13 2017-08-25 삼성전자주식회사 와이어 그리드 편광자, 상기 와이어 그리드 편광자의 제조 방법 및 상기 와이어 그리드 편광자를 포함하는 디스플레이 패널
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
CN104253027B (zh) * 2013-06-26 2017-08-25 中芯国际集成电路制造(上海)有限公司 双重图形及其形成方法
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9093386B2 (en) * 2013-11-20 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer-damage-free etching
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111276389A (zh) * 2020-02-14 2020-06-12 上海华虹宏力半导体制造有限公司 Bcd工艺中形成衬垫氧化层的方法

Also Published As

Publication number Publication date
US20190385902A1 (en) 2019-12-19
US10867839B2 (en) 2020-12-15
US11676852B2 (en) 2023-06-13
TW202001991A (zh) 2020-01-01
US20210134656A1 (en) 2021-05-06
US20230260829A1 (en) 2023-08-17

Similar Documents

Publication Publication Date Title
US11676852B2 (en) Patterning methods for semiconductor devices
CN110660652B (zh) 半导体装置的图案化方法
US9852916B2 (en) Single platform, multiple cycle spacer deposition and etch
US20200083044A1 (en) Tin oxide films in semiconductor device manufacturing
CN109643639B (zh) 用于间隔件和硬掩模应用的硼烷介导的从硅烷和烷基硅烷物质脱氢的工艺
US7494934B2 (en) Method of etching carbon-containing layer and method of fabricating semiconductor device
US20200328308A1 (en) Semiconductor Device and Methods of Manufacture
CN109585280B (zh) 半导体装置的形成方法
US11705369B2 (en) Fully self-aligned via with selective bilayer dielectric regrowth
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
US10211318B2 (en) Semiconductor device and method of manufacture
TW202008429A (zh) 半導體裝置的形成方法
US20210098293A1 (en) Encapsulated top via interconnects
US10361112B2 (en) High aspect ratio gap fill
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
US20070264773A1 (en) Methods of etching stacks having metal layers and hard mask layers

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20191224