CN108885991A - 对被处理物进行处理的方法 - Google Patents

对被处理物进行处理的方法 Download PDF

Info

Publication number
CN108885991A
CN108885991A CN201780020010.3A CN201780020010A CN108885991A CN 108885991 A CN108885991 A CN 108885991A CN 201780020010 A CN201780020010 A CN 201780020010A CN 108885991 A CN108885991 A CN 108885991A
Authority
CN
China
Prior art keywords
gas
exposure mask
plasma
film
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780020010.3A
Other languages
English (en)
Other versions
CN108885991B (zh
Inventor
木原嘉英
久松亨
大石智之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority claimed from PCT/JP2017/012407 external-priority patent/WO2017170411A1/ja
Publication of CN108885991A publication Critical patent/CN108885991A/zh
Application granted granted Critical
Publication of CN108885991B publication Critical patent/CN108885991B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一实施方式中,晶片(W)具备被蚀刻层(EL)、有机膜(OL)、防反射膜(AL)及掩膜(MK1),一实施方式的方法MT具备如下工序:在收容有该晶片(W)的等离子体处理装置(10)的处理容器(12)内,通过在处理容器(12)内产生的等离子体并使用掩膜(MK1)对防反射膜(AL)进行蚀刻处理,该工序具备:在掩膜(MK1)的表面保形地形成保护膜(SX)的工序(ST3a)~工序(ST4);及通过使用形成有保护膜(SX)的掩膜(MK1)按原子层去除防反射膜(AL)而对防反射膜(AL)进行蚀刻的工序(ST6a)~工序(ST7)。

Description

对被处理物进行处理的方法
技术领域
本发明的实施方式涉及一种对被处理物进场处理的方法,尤其涉及一种包含掩膜的制作的方法。
背景技术
伴随半导体的微细化,在下一代的光刻技术中,使用波长比现有的最前端的器件的制造中所使用的ArF准分子激光(波长:193[nm])短一位的13.5[nm]的EUV(ExtremeUltra-Violet:极紫外线)光。由于随着波长的短波长化而光吸收变大,且利用EUV光刻的一代中因抗蚀剂图案宽度被微细化抗蚀剂图案的纵横比变大而容易产生图案倒塌等,将EUV光刻用抗蚀剂的膜厚薄膜化。具体而言,对于抗蚀剂图案宽度而言,约3以下的纵横比为实用水平。即,当对作为层叠掩膜的最上层的抗蚀剂膜进行EUV加工时,图案宽度为10[nm]的一代中抗蚀剂膜的高度成为30[nm]左右,且图案宽度为7[nm]的一代中抗蚀剂膜的高度成为20[nm]左右。
近年来的半导体器件需要更微细的图案形成,因此抗蚀剂的线图案边缘形状的波动对器件性能的影响变得明显。线图案边缘形状的粗糙度(roughness)以LWR(Line WidthRoughness:线宽的偏差[nm])及LER(Line Edge Roughness:线端位置的偏差[nm])为指标而显现。当作为掩膜形状的偏差的指标的LER或LWR增加时,栅极漏电流或阈值电压的稳定化受阻,且引起栅极长度的波动,而LSI电路内的各晶体管性能上可发生偏差。
半导体集成电路中,设置有存储器、逻辑部等面积密度大的密图案区域与设置有周边电路部等面积密度小的疏图案区域存在于同一晶片上。因此,在用于制造这种半导体集成电路的蚀刻工序中,与图案的疏密无关,而需要实现通过光刻形成的所希望的图案尺寸的精度的控制技术。在专利文献1、专利文献2中公开了图案形成所涉及的技术。
专利文献1中所记载的等离子体蚀刻性能强化方法的目的在于提供如下方法:使用等离子体,对通过蚀刻掩膜确定的结构进行蚀刻,由此在半导体晶片上的介电层蚀刻形成无弯曲的特征部。专利文献1中所记载的方法中,在介电层上形成掩膜,且在掩膜的暴露面形成保护性含硅涂层,并经由掩膜及保护性含硅涂层对特征部进行蚀刻。并且,在另一方法中,该特征部在形成保护性含硅涂层之前局部被蚀刻。如此,专利文献1的技术中,在抗蚀剂掩膜上及在局部被蚀刻的特征部的侧壁上,利用等离子体形成保护性含硅涂层。
专利文献2中所记载的等离子体蚀刻方法的目的在于提供一种使用经EUV曝光的抗蚀剂进行等离子体蚀刻的等离子体蚀刻方法,该方法中能够抑制加工尺寸的偏差。专利文献2中所记载的方法为将具有经EUV曝光的抗蚀剂、防反射膜、无机膜及有机膜的多层抗蚀剂作为掩膜而对被蚀刻材进行等离子体蚀刻的等离子体蚀刻方法,该方法具有:第一工序,在对防反射膜进行蚀刻之前使堆积膜堆积于抗蚀剂的表面;第二工序,在第一工序之后使用Cl2气体、HBr气体及N2气体的混合气体对堆积在防反射膜上的堆积膜和防反射膜进行蚀刻;第三工序,在第二工序之后对无机膜进行蚀刻;及第四工序,在第三工序之后对有机膜进行蚀刻。如此,专利文献2的技术中,作为能够使用EUV抗蚀剂抑制加工尺寸的偏差的方法,在对被蚀刻材进行蚀刻之前使用等离子体使堆积膜堆积于抗蚀剂层的表面。
以往技术文献
专利文献
专利文献1:日本特开2008-60566号公报
专利文献2:日本特开2014-107520号公报
发明内容
发明要解决的技术课题
用于如上述般高微细的图案形成中的EUV光刻用抗蚀剂因光刻的局限性而具有以往的ArF抗蚀剂的膜厚一半以下的膜厚。因此,当以这种相对薄膜形成高微细的掩膜图案时,需要在固化(Cure)工序、对防反射膜进行蚀刻的工序及对有机膜进行蚀刻的工序中,提高掩膜的蚀刻率,抑制LWR及LER,以及抑制因图案的疏密而产生的影响(根据图案的疏密而图案形状不同等)。
作为用于提高掩膜的蚀刻率的以往的技术,有在防反射膜的蚀刻时使用堆积性气体而在掩膜上形成保护膜的技术。但是,该情况下,因蚀刻时的堆积的聚合反应而产生的应力,LWR及LER会增加。而且,蚀刻时的堆积物的粘附的程度依赖于图案密度,因此根据图案的疏密而堆积物的粘附程度变得不均匀,从而因图案的疏密而产生的影响会增大。
并且,近年来,提出了利用与ALE(Atomic Layer Etching:原子层蚀刻)法相同的方法对防反射膜进行蚀刻的技术。该技术中,因蚀刻而产生的离子量与自由基量被分开独立控制,因此将堆积膜(自由基量)设为薄膜(少量)而进行堆积,由此能够通过相对低的能量进行防反射膜的蚀刻。该技术中,在抗蚀剂上形成薄的保护膜,且防反射膜被选择性蚀刻,因此掩膜(EUV抗蚀剂)的蚀刻率会提高。而且,该技术中,如上述般堆積膜被薄膜化,因此因图案的疏密而产生的影响(根据图案的疏密而图案形状不同等)也会减少。但是,在该技术中,因离子的碰撞而向被蚀刻层赋予能量,因此在掩膜上的保护膜的膜厚相对薄时,通过保护膜进行的保护功能会降低,且通过抗蚀剂溅射而LWR及LER增加。
并且,专利文献1中所记载的技术中,能够使用SiF4气体及H2气体的等离子体形成含硅膜的保护膜。但是,在该技术中,当在图案中存在疏密区域时,根据图案的疏密而成膜量会发生偏差。
并且,专利文献2中所记载的技术中,能够使用CHF3气体及Cl2气体的等离子体形成有机膜的保护膜。但是,在该技术中,也形成碳系聚合膜,因此尤其当在图案存在疏密区域时,根据图案的疏密保护量会发生偏差。
如以上,当形成高精细的掩膜时,需要将掩膜的蚀刻率的提高、LWR及LER的抑制、因图案的疏密而产生的影响的抑制全部实现。
用于解决技术课题的手段
一方式中,提供一种对被处理物进行处理的方法。被处理物具备被蚀刻层、设置在该被蚀刻层上的有机膜、设置在该有机膜上的防反射膜及设置在该防反射膜上的第1掩膜。而且,该方法中,在收容有被处理物的等离子体处理装置的处理容器内,在第1掩膜的表面保形地(conformal)形成保护膜的工序(称为工序a)和在执行完工序a之后,使用形成有该保护膜的第1掩膜,通过在处理容器内产生的等离子体按原子层去除防反射膜,并对该防反射膜进行蚀刻的工序(称为工序b)。
如此,通过执行工序a,与掩膜的疏密差无关,而在第1掩膜上形成被高精度地控制的保形膜厚的保护膜,一边维持掩膜的形状一边强化掩膜对蚀刻的耐性,通过执行工序b,掩膜的蚀刻率得以提高,掩膜的形状(LWR(Line Width Roughness:线宽粗糙度)及LER(Line Edge Roughness:线边缘粗糙度))因蚀刻而受到的影响得以减少。
一实施方式中,还具备如下工序(称为工序c):在执行工序a之前,在处理容器内产生等离子体并向设置在处理容器的平行平板电极的上部电极施加负的直流电压,由此对第1掩膜照射二次电子。如此,在执行形成保护膜的工序a之前,向第1掩膜照射二次电子,因此在保护膜的形成前能够使第1掩膜改质,且能够抑制因后续工序而导致第1掩膜受损。
一实施方式中,上部电极的电极板含有硅,在工序c中,在处理容器内产生等离子体并对上部电极赋予负的直流电压,由此从电极板释放硅而用包含该硅的氧化硅化合物覆盖第1掩膜。如此,在工序c中,氧化硅化合物覆盖第1掩膜,因此能够进一步抑制因后续工序而导致第1掩膜受损。
一实施方式中,工序a通过重复执行第1序列而在第1掩膜的表面保形地形成保护膜,该第1序列包括:第1工序,向处理容器内供给第1气体;第2工序,在执行完第1工序之后,对处理容器内的空间进行吹扫;第3工序,在执行完第2工序之后,在处理容器内生成第2气体的等离子体;及第4工序,在执行完第3工序之后,对处理容器内的空间进行吹扫,第1工序中未生成第1气体的等离子体。如此,在工序a中,通过与ALD(Atomic Layer Deposition:原子层沉积)法相同的方法,在第1掩膜的表面的硅化合物上保形地形成保护膜,因此能够提高对掩膜的保护的强度,并且以均匀的膜厚形成保护掩膜的保护膜。
一实施方式中,第1气体包含含有有机物的氨基硅烷系气体。如此第1气体包含含有有机物的氨基硅烷系气体,因此通过第1工序,沿第1掩膜的表面的原子层在第1掩膜上形成硅的反应前体。
一实施方式中,第1气体的氨基硅烷系气体可包含具有1~3个硅原子的氨基硅烷。第1气体的氨基硅烷系气体可包含具有1~3个氨基的氨基硅烷。如此第1气体的氨基硅烷系气体中能够使用包含1~3个硅原子的氨基硅烷。并且,第1气体的氨基硅烷系气体中能够使用包含1~3个氨基的氨基硅烷。
一实施方式中,第2气体包含含有氧原子及碳原子的气体。如此第2气体包含氧原子,因此在第3工序中,该氧原子与设置在第1掩膜上的硅的反应前体键合,由此可在第1掩膜上保形地形成氧化硅的保护膜。并且,第2气体包含碳原子,因此可通过该碳原子抑制氧原子对第1掩膜的侵蚀。
一实施方式中,工序b通过重复执行第2序列,并按原子层去除防反射膜而对该防反射膜进行蚀刻,该第2序列包括:第5工序,在执行工序a之后,在处理容器内生成第3气体的等离子体,并在防反射膜的表面的原子层形成含有该等离子体所包含的自由基的混合层;第6工序,在执行第5工序之后,对处理容器内的空间进行吹扫;第7工序,在执行第6工序之后,在处理容器内生成第4气体的等离子体,对该等离子体施加偏置电压而去除混合层;及第8工序,在执行第7工序之后,对处理容器内的空间进行吹扫。如此,工序b能够通过与ALE(Atomic Layer Etching:原子层蚀刻)法相同的方法,按原子层去除防反射膜。
一实施方式中,第3气体包含氟碳系气体和稀有气体。如此,第3气体包含氟碳系气体,因此在第5工序中,向防反射膜的表面的原子层供给氟自由基及碳自由基,且在该表面的原子层形成含有该两种自由基的混合层。
一实施方式中,第4气体包含稀有气体。如此,第4气体包含稀有气体,因此在第7工序中,可利用该稀有气体的等离子体通过偏置电压接收的能量而从该表面去除形成在防反射膜的表面的混合层。
一实施方式中,还具备如下工序:在执行工序b之后,通过在处理容器内产生的等离子体,使用第2掩膜对有机膜进行蚀刻处理,第2掩膜在工序b中,由该防反射膜形成。如此,通过执行工序a、工序b,与掩膜的疏密无关,在有机膜上形成维持形状且蚀刻率得以提高的掩膜,因此能够通过这种良好的形状的掩膜对有机膜进行蚀刻,且良好地进行有机膜的蚀刻。
发明效果
如以上说明,当形成高精细的掩膜时,能够将掩膜的蚀刻率的提高、LWR及LER的抑制、因图案的疏密而产生的影响的抑制全部实现。
附图说明
图1为表示一实施方式的方法的流程图。
图2为表示等离子体处理装置的一例的图。
图3具备(a)部、(b)部、(c)部,且为表示图1所示的各工序的实施前及实施后的被处理物的状态的剖视图。
图4具备(a)部、(b)部,且为表示图1所示的各工序的实施后的被处理物的状态的剖视图。
图5为示意性表示图1所示的形成保护膜的序列中的保护膜的形成样子的图。
图6为表示图1所示的方法中的蚀刻的原理的图。
具体实施方式
以下,参考附图对各种实施方式进行详细的说明。另外,附图中对相同或相当的部分标注相同的符号。
以下,参考图1,对能够使用等离子体处理装置10来实施的蚀刻方法(方法MT)进行说明。图1为表示一实施方式的方法的流程图。图1所示的一实施方式的方法MT为对被处理物(以下,有时称为“晶片”)进行处理的方法。方法MT为对晶片进行蚀刻的方法的一例。一实施方式的方法MT中,能够使用单一的等离子体处理装置来执行一系列的工序。
图2为表示等离子体处理装置的一例的图。图2中概略示出对被处理物进行处理的方法的各种实施方式中能够利用的等离子体处理装置10的截面结构。如图2所示,等离子体处理装置10为具备平行平板的电极的等离子体蚀刻装置,且具备处理容器12。处理容器12具有大致圆筒形。处理容器12例如由铝构成,在其内壁面实施阳极氧化处理。处理容器12被安全接地。
在处理容器12的底部上设置有大致圆筒形的支撑部14。支撑部14例如由绝缘材料构成。构成支撑部14的绝缘材料如石英那样可包含氧。支撑部14在处理容器12内从处理容器12的底部向铅垂方向延伸。在处理容器12内设置有载置台PD。载置台PD由支撑部14支撑。
载置台PD中,在载置台PD的上表面保持晶片W。载置台PD具有下部电极LE及静电吸盘ESC。下部电极LE包含第1板18a及第2板18b。第1板18a及第2板18b例如由铝等金属构成,且呈大致圆盘形。第2板18b设置在第1板18a上,且与第1板18a电连接。
在第2板18b上设置有静电吸盘ESC。静电吸盘ESC具有在一对绝缘层间或一对绝缘片间配置作为导电膜的电极的结构。在静电吸盘ESC的电极经由开关23电连接有直流电源22。静电吸盘ESC因来自直流电源22的直流电压而产生的库仑力等静电力而吸附晶片W。由此,静电吸盘ESC能够保持晶片W。
在第2板18b的周缘部上以包围晶片W的边缘及以静电吸盘ESC的方式配置有聚焦环FR。聚焦环FR为了提高蚀刻的均匀性而设置。聚焦环FR由根据蚀刻对象的膜的材料而适当选择的材料构成,例如可由石英构成。
在第2板18b的内部设置有制冷剂流路24。制冷剂流路24构成调温机构。从设置在处理容器12的外部的冷却单元(省略图示)经由配管26a向制冷剂流路24供给制冷剂。向制冷剂流路24供给的制冷剂经由配管26b而返回到冷却单元。如此,以循环的方式向制冷剂流路24供给制冷剂。通过控制该制冷剂的温度,控制由静电吸盘ESC支撑的晶片W的温度。
等离子体处理装置10中设置有供气管道28。供气管道28向静电吸盘ESC的上表面与晶片W的背面之间供给来自传热气体供给机构的传热气体、例如He气体。
等离子体处理装置10中设置有作为加热元件的加热器HT。加热器HT例如埋入在第2板18b内。加热器HT与加热器电源HP连接。通过从加热器电源HP向加热器HT供给电力,调整载置台PD的温度,并调整载置于载置台PD上的晶片W的温度。另外,加热器HT可以内置在静电吸盘ESC。
等离子体处理装置10具备上部电极30。上部电极30在载置台PD的上方与载置台PD对置配置。下部电极LE与上部电极30彼此大致平行地设置,且构成平行平板电极。在上部电极30与下部电极LE之间提供有用于对晶片W进行等离子体处理的处理空间S。
上部电极30经由绝缘性遮蔽部件32被处理容器12的上部支撑。绝缘性遮蔽部件32由绝缘材料构成,例如如石英般可包含氧。上部电极30可包含电极板34及电极支撑体36。电极板34面向处理空间S,该电极板34中设置有多个吐气孔34a。电极板34在一实施方式中含有硅。在另一实施方式中,电极板34可含有氧化硅。
电极支撑体36装卸自如地支撑电极板34,例如可由铝等导电性材料构成。电极支撑体36可具有水冷结构。在电极支撑体36的内部设置有气体扩散室36a。从气体扩散室36a向下方延伸有与吐气孔34a连通的多个气体流通孔36b。在电极支撑体36形成有向气体扩散室36a导入处理气体的气体导入口36c,气体导入口36c中连接有供气管38。
供气管38中,经由阀组42及流量控制器组44连接有气源组40。气源组40具有多个气源。多个气源可包含含有有机物的氨基硅烷系气体的来源、氟碳系气体(CxFy气体(x、y为1~10的整数))的来源、具有氧原子及碳原子的气体(例如二氧化碳气体等)的来源、氮气的来源、含氢气体的来源及稀有气体的来源。作为氟碳系气体,可使用CF4气体、C4F6气体、C4F8气体等任意氟碳系气体。作为氨基硅烷系气体,能够使用氨基的数量相对少的分子结构的气体,例如可使用单氨基硅烷(H3-Si-R(R为包含有机物且可以取代的氨基))。并且,上述氨基硅烷系气体(后述第1气体G1中所含有的气体)能够包含可具有1~3个硅原子的氨基硅烷,或者能够包含具有1~3个氨基的氨基硅烷。具有1~3个硅原子的氨基硅烷可以是具有1~3个氨基的单硅烷(单氨基硅烷)、具有1~3个氨基的二硅烷或具有1~3个氨基的三硅烷。而且,上述氨基硅烷可具有可以取代的氨基。而且,上述氨基可以经甲基、乙基、丙基及丁基中的任一个取代。而且,上述甲基、乙基、丙基或丁基可经卤素取代。作为稀有气体,可使用Ar气体、He气体等任意稀有气体。
阀组42包含多个阀,流量控制器组44包含质量流量控制器等多个流量控制器。气源组40的多个气源的每一个经由阀组42的相对应的阀及流量控制器组44的相对应的流量控制器而与供气管38连接。因此,等离子体处理装置10能够以个别调整的流量向处理容器12内供给来自选自气源组40的多个气源中的一个以上的气源的气体。
等离子体处理装置10中,沿处理容器12的内壁装卸自如地设置有沉积挡板46。沉积挡板46还设置在支撑部14的外周。沉积挡板46防止蚀刻副产物(沉积物)粘附于处理容器12,且可通过Y2O3等陶瓷包覆铝材而构成。除了Y2O3以外,沉积挡板例如还可由如石英那样包含氧的材料构成。
在处理容器12的底部侧,并且支撑部14与处理容器12的侧壁之间设置有排气板48。排气板48例如可通过Y2O3等陶瓷包覆铝材而构成。在排气板48的下方,并且在处理容器12设置有排气口12e。在排气口12e,经由排气管52连接有排气装置50。排气装置50具有涡轮分子泵等真空泵,且能够将处理容器12内的空间减压至所希望的真空度。在处理容器12的侧壁设置有晶片W的搬入搬出口12g,搬入搬出口12g能够通过闸阀54而开闭。
等离子体处理装置10还具备第1高频电源62及第2高频电源64。第1高频电源62为产生等离子体生成用第1高频电力的电源,且产生27~100[MHz]的频率,在一例中产生60[MHz]的高频电力。并且,第1高频电源62具备脉冲规格,且能够以频率5~10[kHz]、Duty(占空比)50~100%进行控制。第1高频电源62经由匹配器66与上部电极30连接。匹配器66为用于使第1高频电源62的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。另外,第1高频电源62经由匹配器66与下部电极LE连接。
第2高频电源64为用于向晶片W引入离子的第2高频电力、即产生高频偏置电力的电源,且产生400[kHz]~40.68[MHz]的范围内的频率的高频偏置电力,在一例中产生13.56[MHz]的频率的高频偏置电力。并且,第2高频电源64具备脉冲规格,且能够以频率周波数5~40[kHz]、占空比20~100%进行控制。第2高频电源64经由匹配器68与下部电极LE连接。匹配器68为用于使第2高频电源64的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。
等离子体处理装置10还具备电源70。电源70与上部电极30连接。电源70向上部电极30施加用于将存在于处理空间S内的正离子引入电极板34的电压。一例中,电源70为产生负的直流电压的直流电源。若从电源70向上部电极30施加这种电压,则存在于处理空间S的正离子与电极板34碰撞。由此,从电极板34释放二次电子和/或硅。
一实施方式中,等离子体处理装置10还可具备控制部Cnt。控制部Cnt为具备处理器、存储部、输入装置、显示装置等的计算机,且控制等离子体处理装置10的各部。具体而言,控制部Cnt与阀组42、流量控制器组44、排气装置50、第1高频电源62、匹配器66、第2高频电源64、匹配器68、电源70、加热器电源HP及冷却单元连接。
控制部Cnt按照基于所输入的菜单的程序而进行动作,且发送控制信号。根据来自控制部Cnt的控制信号,能够控制从气源组40供给的气体的选择及流量、排气装置50的排气、来自第1高频电源62及第2高频电源64的电力供给、来自电源70的电压施加、加热器电源HP的电力供给、来自冷却单元的制冷剂流量及制冷剂温度。另外,本说明书中公开的对被处理物进行处理的方法MT的各工序可如下执行,即通过由控制部Cnt进行的控制使等离子体处理装置10的各部进行动作。
参考图3的(a)部,对图1所示的方法MT的工序ST1中所准备的晶片W的主要构成进行说明。图3为表示图1所示的各工序的实施前及实施后的被处理物的状态的剖视图。
如图3的(a)部所示,工序ST1中准备的晶片W具备基板SB、被蚀刻层EL、有机膜OL、防反射膜AL及掩膜MK1(第1掩膜)。被蚀刻层EL设置在基板SB上。被蚀刻层EL为由可对有机膜OL进行选择性蚀刻的材料构成的层且使用绝缘膜。被蚀刻层EL例如可由氧化硅(SiO2)构成。另外,被蚀刻层EL能够由多晶硅等其他材料构成。
有机膜OL设置在被蚀刻层EL上。有机膜OL为包含碳的层,例如为SOH(旋涂硬掩膜)层。防反射膜AL为含有硅的防反射膜,且设置在有机膜OL上。
掩膜MK1设置在防反射膜AL上。掩膜MK1为由抗蚀剂材料构成的抗蚀剂掩膜,且通过光刻技术而将抗蚀剂层图案化,由此制成。掩膜MK1例如可以是ArF抗蚀剂。掩膜MK1局部覆盖防反射膜AL。掩膜MK1划分使防反射膜AL局部露出的开口OP1。掩膜MK1的图案例如为线和空间图案,但也可具有平面观察时提供圆形开口的图案、平面观察时提供椭圆形开口的图案等其他各种形状的图案。防反射膜AL上的掩膜MK1具有HG1[nm]的值的高度。以下,当掩膜MK1的宽度(W1[nm])与掩膜MK1提供的开口OP1的宽度(W2[nm])之比为1:1左右时,将掩膜称为“密”(晶片(密)),当为1:5左右时,将掩膜称为“疏”(晶片(疏))。
返回到图1,继续对方法MT进行说明。在以下的说明中,与图1一同参考图3、图4、图5进行说明。图3为表示图1所示的各工序的实施前及实施后的被处理物的状态的剖视图。图4为表示图1所示的方法的各工序的实施后的被处理物的状态的剖视图。图5为示意性表示形成图1所示的保护膜的序列中的保护膜的形成样子的图。
工序ST1中,准备图3的(a)部所示的晶片W,晶片W收容于等离子体处理装置10的处理容器12内,且载置于静电吸盘ESC上。在工序ST1中,作为图2所示的晶片W准备图3的(a)部所示的上述晶片W之后,执行工序ST2以后的各工序。
在紧接工序ST1的工序ST2中,对晶片W照射二次电子。工序ST2为如下工序,即在执行在掩膜MK1保形地(conformal)形成氧化硅的保护膜(保护膜SX)的序列SQ1及工序ST4之前,在处理容器12内产生等离子体并对上部电极30施加负的直流电压,由此对掩膜MK1照射二次电子。
如以上,在执行形成保护膜SX的序列SQ1~工序ST4的一系列的工序之前,对掩膜MK1照射二次电子,因此在形成保护膜SX之前能够使掩膜MK1改质,并能够抑制因后续工序而导致掩膜MK1受损。
对工序ST2的处理内容进行具体说明。首先,向处理容器12内供给氢气及稀有气体,且从第1高频电源62供给高频电力,由此在处理容器12内生成等离子体。从选自气源组40的多个气源中的气源向处理容器12内供给氢气及稀有气体。因此,处理空间S中的正离子被引入上部电极30,且该正离子与上部电极30碰撞。通过正离子与上部电极30碰撞,从上部电极30释放二次电子。所释放的二次电子被照射到晶片W,由此掩膜MK1被改质。而且,通过电极板34与正离子碰撞,作为电极板34的构成材料的硅与二次电子一同被释放。所释放的硅与从暴露于等离子体的等离子体处理装置10的构成部件释放的氧键合。该氧例如从支撑部14、绝缘性遮蔽部件32及沉积挡板46等部件释放。通过硅与氧的键合,生成氧化硅化合物,该氧化硅化合物堆积在晶片W上而覆盖并保护掩膜MK1。如此,在对掩膜MK1照射二次电子的工序ST2中,在处理容器12内产生等离子体并对上部电极30施加负的直流电压,由此对掩膜MK1照射二次电子,并且从电极板34释放硅而用包含该硅的氧化硅化合物覆盖掩膜MK1。而且,对掩膜MK1照射二次电子,并用氧化硅化合物覆盖掩膜MK1之后对处理容器12内的空间进行吹扫而过渡到工序ST2a。
如以上,在工序ST2中,氧化硅化合物覆盖掩膜MK1,因此能够进一步抑制因后续工序而导致掩膜MK1受损。
另外,为了在工序ST2中通过二次电子的照射进行的改质或保护膜的形成,可以将第2高频电源64的偏置电力设为最小而抑制硅的释放。并且,方法MT中还能够去除工序ST2。
紧接工序ST2,依次执行序列SQ1、工序ST5、序列SQ2、工序ST7(序列SQ1~工序ST7)。序列SQ1~工序ST5的一系列的工序为在掩膜MK1的表面保形地形成硅氧化膜的保护膜SX的工序,序列SQ2~工序ST7的一系列的工序为在执行序列SQ1~工序ST5的一系列的工序之后,通过使用形成有硅氧化膜的保护膜SX的掩膜MK1按原子层去除防反射膜AL,精密地对防反射膜AL进行蚀刻的工序。如此,通过执行序列SQ1~工序ST5的一系列的工序,与掩膜的疏密差无关,而在掩膜上形成被高精度地控制的保形膜厚的保护膜SX,一边维持掩膜的形状一边强化掩膜对蚀刻的耐性,并且通过执行序列SQ2~工序ST7的一系列的工序,掩膜的蚀刻率得以提高,且掩膜的形状(LWR(Line Width Roughness)及LER(Line EdgeRoughness))因蚀刻而受到的影响得以减少。
紧接工序ST2,将序列SQ1(第1序列)执行一次(单位周期)以上。序列SQ1、工序ST4为以与ALD(Atomic Layer Deposition)法相同的方法在晶片W上以均匀的膜厚保形地形成氧化硅的保护膜SX的工序,且包括在序列SQ1中依次执行的工序ST3a(第1工序)、工序ST3b(第2工序)、工序ST3c(第3工序)、工序ST3d(第4工序)。
工序ST3a中,向处理容器12内供给第1气体G1。具体而言,在工序ST3a中,如图5的(a)部所示,向处理容器12内导入含有硅的第1气体G1。第1气体G1包含含有有机物的氨基硅烷系气体。从选自气源组40的多个气源中的气源向处理容器12内供给含有有机物的氨基硅烷系气体的第1气体G1。第1气体G1作为氨基硅烷系气体,例如使用单氨基硅烷(H3-Si-R(R为含有有机物的氨基))。在工序ST3a中,未生成第1气体G1的等离子体。
如图5的(b)部所示,第1气体G1的分子作为反应前体(层Ly1)而粘附于晶片W的表面。第1气体G1的分子(单氨基硅烷)通过基于化合键合的化学吸附而粘附于晶片W的表面,且不使用等离子体。在工序ST3a中,晶片W的温度为0摄氏度以上且掩膜MK1中所含有的材料的玻璃化转变温度以下(例如200摄氏度以下)的程度。另外,只要能够在该温度范围内通过化学键合而粘附于表面并且含有硅,则还能够利用除了单氨基硅烷以外的气体。
第1气体G1中选择单氨基硅烷的理由如下:单氨基硅烷具有相对高的电负性且具备具有极性的分子结构,由此可相对容易进行化学吸附。通过第1气体G1的分子粘附于晶片W的表面而形成的反应前体的层Ly1因该粘附为化学吸附而成为接近单分子层(单层)的状态。单氨基硅烷的氨基(R)越小,则吸附于晶片W的表面的分子的分子结构越小,因此因分子的大小而产生的立体位阻得以减少,从而第1气体G1的分子能够均匀地吸附于晶片W的表面,且层Ly1相对于晶片W的表面可以以均匀的膜厚形成。在晶片W的表面,层Ly1并不依赖于晶片W的图案密度而可以以均匀的膜厚保形地形成。
如以上,第1气体G1包含含有有机物的氨基硅烷系气体,因此通过工序ST3a,硅的反应前体(层Ly1)沿掩膜MK1的表面的原子层而在掩膜MK1上形成。
在紧接工序ST3a的工序ST3b中,对处理容器12内的空间进行吹扫。具体而言,排出在工序ST3a中供给的第1气体G1。在工序ST3b中,作为吹扫气体可以向处理容器12供给氮气或稀有气体(例如Ar等)等惰性气体。即,工序ST3b的吹扫可以是使惰性气体在处理容器12内流动的气体吹扫或通过抽真空进行的吹扫中的任一种。在工序ST3b中,还可去除过度粘附在晶片W上的分子。通过以上,反应前体的层Ly1成为非常薄的单分子层。
在紧接工序ST3b的工序ST3c中,如图5的(b)部所示,在处理容器12内生成第2气体的等离子体P1。第2气体包含含有氧原子及碳原子的气体,例如可包含二氧化碳气体。在工序ST3c中,生成第2气体的等离子体P1时的晶片W的温度为0摄氏度以上且掩膜MK1中所含有的材料的玻璃化转变温度以下(例如200摄氏度以下)。从选自气源组40的多个气源中的气源向处理容器12内供给包含含有氧原子及碳原子的气体的第2气体。而且,从第1高频电源62供给高频电力。该情况下,还能够施加第2高频电源64的偏置电力。并且,能够仅使用第2高频电源64来生成等离子体而不使用第1高频电源62。通过使排气装置50进行动作而将处理容器12内的空间的压力设定为预先设定的压力。如此,在处理容器12内生成第2气体的等离子体P1。
如图5的(b)部所示,若生成第2气体的等离子体P1,则生成氧的活性种及碳的活性种、例如氧自由基、碳自由基,如图5的(c)部所示,硅氧化膜的层Ly2(与保护膜SX对应)作为单分子层而形成。碳自由基可发挥抑制氧对掩膜MK1的侵蚀的功能,因此硅氧化膜作为保护膜而可在掩膜MK1的表面稳定地形成。硅氧化膜的Si-O键的键能为192[kcal]左右,且作为形成有掩膜的有机膜的各种键种的比C-C键、C-H键、C-F键各自的键能(50-110[kcal]左右、70-110[kcal]左右、100-120[kcal]左右)高,因此硅氧化膜可发挥作为保护膜的功能。
如以上,第2气体包含氧原子,因此在工序ST3c中,该氧原子与设置在掩膜MK1上的硅的反应前体(层Ly1)键合,由此可在掩膜MK1上保形地形成氧化硅膜的层Ly2。并且,第2气体包含碳原子,因此可通过该碳原子抑制氧原子对掩膜MK1的侵蚀。因此,在序列SQ1中,通过以与ALD法相同的方法执行一次(单位周期)序列SQ1,与掩膜MK1的密度无关而能够以均匀的膜厚在晶片W的表面上保形地形成硅氧化膜的层Ly2。
在紧接工序ST3c的工序ST3d中,对处理容器12内的空间进行吹扫。具体而言,排出在工序ST3c中供给的第2气体。在工序ST3d中,作为吹扫气体可以向处理容器12供给氮气或稀有气体(例如Ar等)等惰性气体。即,工序ST3d的吹扫可以是使惰性气体在处理容器12内流动的气体吹扫或通过抽真空进行的吹扫中的任一种。
在紧接序列SQ1的工序ST4中,判定是否结束序列SQ1的执行。具体而言,在工序ST4中,判定序列SQ1的执行次数是否达到了预先设定的次数。序列SQ1的执行次数的确定中,确定图3的(b)部所示的形成在晶片W上的保护膜SX的膜的厚度。即,根据通过执行一次(单位周期)序列SQ1而形成的硅氧化膜的膜厚与序列SQ1的执行次数的乘积,实质上可确定最终形成在晶片W上的保护膜SX的膜的厚度。因此,根据形成在晶片W上的保护膜SX的所希望的厚度,可设定序列SQ1的执行次数。如此,通过重复执行序列SQ1,在掩膜MK1的表面保形地形成硅氧化膜的保护膜SX。
当在工序ST4中判定序列SQ1的执行次数未达到预先设定的次数时(工序ST4:否),再次重复执行序列SQ1。另一方面,当在工序ST4中判定为序列SQ1的执行次数达到预先设定的次数时(工序ST4:是),结束序列SQ1的执行。由此,如图3的(b)部所示,在晶片W的表面上形成作为硅氧化膜的保护膜SX。即,通过将序列SQ1重复预先设定的次数,与掩膜MK1的密度无关而以均匀的膜厚在晶片W的表面保形地形成具有预先设定的膜厚的保护膜SX。通过重复执行序列SQ1而高精度地控制设置在掩膜MK1上的保护膜SX的膜的厚度。
如以上,在序列SQ1及工序ST4的一系列的工序中,通过与ALD法相同的方法,在掩膜MK1的表面的硅化合物上保形地形成保护膜SX,因此能够提高对掩膜MK1的保护强度,并能够以均匀的膜厚形成保护掩膜MK1的保护膜SX。
如图3的(b)部所示,通过序列SQ1及工序ST4的一系列的工序形成的保护膜SX包括区域R1、区域R2及区域R3。区域R3为在掩膜MK1的侧面上沿该侧面延伸的区域。区域R3从防反射膜AL的表面延伸至区域R1的下侧。区域R1在掩膜MK1的上表面上及区域R3上延伸。区域R2在相邻的区域R3之间,并且防反射膜AL的表面上延伸。如上述,序列SQ1中以与ALD法相同的方法形成保护膜SX,因此与掩膜MK1的密度无关,而区域R1、区域R2及区域R3各自的膜厚成为彼此大致相等的膜厚。
在紧接工序ST4的工序ST5中,以去除区域R1及区域R2的方式,对保护膜SX进行蚀刻(回蚀)。为了去除区域R1及区域R2而需要各向异性的蚀刻条件。因此,在工序ST5中,从选自气源组40的多个气源中的气源向处理容器12内供给包含氟碳系气体的处理气体。而且,从第1高频电源62供给高频电力,从第2高频电源64供给高频偏置电力,且通过使排气装置50进行动作而将处理容器12内的空间的压力设定为预先设定的压力。如此,生成氟碳系气体的等离子体。所生成的等离子体中的包含氟的活性种通过基于高频偏置电力向铅垂方向的引入而优先对区域R1及区域R2进行蚀刻。其结果,如图3的(c)部所示,区域R1及区域R2被选择性地去除,且通过所残留的区域R3形成掩膜MS。掩膜MS与掩膜MK1构成防反射膜AL的表面上的掩膜MK2。
紧接工序ST5,执行序列SQ2~工序ST7的一系列的工序。序列SQ2~工序ST7的一系列的工序为对防反射膜AL进行蚀刻的工序。
首先,紧接工序ST5将序列SQ2(第2序列)执行一次(单位周期)以上。序列SQ2为通过与ALE(Atomic Layer Etching)法相同的方法,与掩膜MK2的疏密无关而以高蚀刻率精密地对防反射膜AL中未被掩膜MK2覆盖的区域进行蚀刻的一系列的工序,且在序列SQ2中包括依次执行的工序ST6a(第5工序)、工序ST6b(第6工序)、工序ST6c(第7工序)、工序ST6d(第8工序)。
在工序ST6a中,在处理容器12内生成第3气体的等离子体,且在防反射膜AL的表面的原子层形成包含该等离子体中所含有的自由基的混合层MX。在工序ST6a中,在晶片W载置在静电吸盘ESC上的状态下,向处理容器12内供给第3气体,并生成该第3气体的等离子体。第3气体为适合含有硅的防反射膜AL的蚀刻的蚀刻气体,且包含氟碳系气体和稀有气体,例如可为CxFy/Ar气体。CxFy可为CF4。具体而言,从选自气源组40的多个气源中的气源向处理容器12内供给包含氟碳系气体和稀有气体的第3气体。而且,从第1高频电源62供给高频电力,从第2高频电源64供给高频偏置电力,且使排气装置50进行动作而将处理容器12内的空间的压力设定为预先设定的压力。如此,在处理容器12内生成第3气体的等离子体。第3气体的等离子体包含碳自由基及氟自由基。
图6为表示图1所示的方法(序列SQ2)中的蚀刻的原理的图。图6中,空心圆(白色圆)表示构成防反射膜AL的原子,涂黑圆(黑色圆)表示自由基,用圆包围的“+”表示后述第4气体中所含有的稀有气体的原子的离子(例如Ar原子的离子)。如图6的(a)部所示,通过工序ST6a,向防反射膜AL的表面的原子层供给第3气体的等离子体中所含有的碳自由基及氟自由基。如此,通过工序ST6a,在防反射膜AL的表面的原子层形成构成防反射膜AL的原子和包含碳自由基及氟自由基的混合层MX(与图6的(a)部一同参考图3的(c)部)。
如以上,第3气体包含氟碳系气体,因此在工序ST6a中,向防反射膜AL的表面的原子层供给氟自由基及碳自由基,且可在该表面的原子层形成包含该两种自由基的混合层MX。
另外,在ArF抗蚀剂的掩膜MK1中,掩膜MK2中所含有的掩膜MS的Si或第3气体的等离子体中所含有的碳自由基作为保护膜而发挥功能。并且,氟自由基量的调整可通过基于电源70的直流电压而控制。
在紧接工序ST6a的工序ST6b中,对处理容器12内的空间进行吹扫。具体而言,排出在工序ST6a中供给的第3气体。在工序ST6b中,作为吹扫气体可以向处理容器12供给氮气或稀有气体(例如Ar气体等)等惰性气体。即,工序ST6b的吹扫可以是使惰性气体在处理容器12内流动的气体吹扫或通过抽真空进行的吹扫中的任一种。
在紧接工序ST6b的工序ST6c中,在处理容器12内生成第4气体的等离子体,向该等离子体施加偏置电压而去除混合层MX。第4气体包含稀有气体,例如可包含Ar气体。具体而言,从选自气源组40的多个气源中的气源向处理容器12内供给包含稀有气体(例如Ar气体)的第4气体,从第1高频电源62供给高频电力,从第2高频电源64供给高频偏置电力,且使排气装置50进行动作而将处理容器12内的空间的压力设定为预先设定的压力。如此,在处理容器12内生成第4气体的等离子体。所生成的等离子体中的第4气体的原子的离子(例如Ar原子的离子)通过基于高频偏置电力向铅垂方向的引入,与防反射膜AL的表面的混合层MX碰撞,并向混合层MX供给能量。如图6的(b)部所示,通过工序ST6c,经由第4气体的原子的离子向形成在防反射膜AL的表面的混合层MX供给能量,且通过该能量而可从防反射膜AL去除混合层MX。
如以上,第4气体包含稀有气体,因此在工序ST6c中,可利用该稀有气体的等离子体通过偏置电压接收的能量而从该表面去除形成在防反射膜AL的表面的混合层MX。
紧接工序ST6c的工序ST6d中,对处理容器12内的空间进行吹扫。具体而言,排出在工序ST6c中供给的第4气体。在工序ST6d中,作为吹扫气体可以向处理容器12供给氮气或稀有气体(例如Ar气体等)等惰性气体。即,工序ST6d的吹扫可以是使惰性气体在处理容器12内流动的气体吹扫或通过抽真空进行的吹扫中的任一种。如图6的(c)部所示,通过在工序ST6c中进行的吹扫,可充分去除构成防反射膜AL的表面的混合层MX的原子及第4气体的等离子体中所含有的多余的离子(例如Ar原子的离子)。
在紧接序列SQ2的工序ST7中,判定是否结束序列SQ2的执行。具体而言,在工序ST7中,判定序列SQ2的执行次数是否到达预先设定的次数。序列SQ2的执行次数的确定中,确定对防反射膜AL的蚀刻的程度(深度)。可重复执行序列SQ2,以使直至到达有机膜OL的表面为止对防反射膜AL进行蚀刻。即,可以以通过执行一次(单位周期)序列SQ2而蚀刻的防反射膜AL的厚度与序列SQ2的执行次数的乘积成为防反射膜AL本身的总厚度的方式,确定序列SQ2的执行次数。因此,根据防反射膜AL的厚度,可设定序列SQ2的执行次数。
当在工序ST7中判定序列SQ2的执行次数未达到预先设定的次数时(工序ST7:否),再次重复执行序列SQ2。另一方面,当在工序ST7中判定为序列SQ2的执行次数达到预先设定的次数时(工序ST7:是),结束序列SQ2的执行。由此,如图4的(a)部所示,防反射膜AL被蚀刻而形成掩膜ALM。即,通过将序列SQ2执行预先设定的次数,与掩膜MK2的密度(掩膜MK1的疏密)无关,而以与由掩膜MK2提供的开口OP2的宽度相同且均匀的宽度对防反射膜AL进行蚀刻,并且,蚀刻率也得以提高。
掩膜ALM与掩膜MK2一同提供开口OP3。掩膜ALM上的掩膜MK2(掩膜MK1)具有HG2[nm]的值的高度。开口OP3具备与由掩膜MK2提供的开口OP2的宽度(参考图3的(c)部)相同的宽度。掩膜MK2与掩膜ALM构成针对有机膜OL的掩膜MK3。由掩膜MK2和掩膜ALM构成的掩膜MK3提供的开口OP3的宽度的值(W3[nm])与掩膜MK2提供的开口OP2的宽度的值相同。通过防反射膜AL的蚀刻形成的开口OP3的宽度可通过重复执行序列SQ2而高精度地控制。
并且,以均匀地且高精度地控制的膜厚稳定的硅氧化膜通过直至工序ST5为止的一系列的工序形成在防反射膜AL上的掩膜MK2的侧面,因此能够减少因对防反射膜AL的序列SQ2的蚀刻而掩膜MK2的形状(LWR及LER)受到的影响。如此能够减少掩膜MK2的形状因序列SQ2的蚀刻而受到的影响,因此通过蚀刻而形成的开口OP3的宽度也能够减少因序列SQ2的蚀刻产生的影响,且还能够减少因掩膜MK2的疏密(掩膜MK1的疏密)而产生的影响。
如以上,序列SQ2~工序ST7的一系列的工序为执行在掩膜MK1的表面保形地形成硅氧化膜(保护膜SX的区域R3(掩膜MS))的工序之后(执行工序ST5之后)进行的工序,且为使用形成有掩膜MS的掩膜MK1(掩膜MK2)重复执行序列SQ2而按原子层去除防反射膜AL来精密地对防反射膜AL进行蚀刻的工序。因此,在序列SQ2~工序ST7的一系列的工序中,能够以与ALE法相同的方法,按原子层去除防反射膜AL。
工序ST7:紧接“是”的工序ST8中,对有机膜OL进行蚀刻。工序ST8为在执行对防反射膜AL进行蚀刻处理的序列SQ1~工序ST7之后(工序ST7:是之后),通过在处理容器12内产生的等离子体,使用掩膜MK3(第2掩膜)对有机膜OL进行蚀刻处理的工序。掩膜MK3在对防反射膜AL进行蚀刻的工序(序列SQ1~工序ST7)中由防反射膜AL形成。
对工序ST8的处理进行具体的说明。首先,从选自气源组40的多个气源中的气源向处理容器12内供给包含氮气和氢气的处理气体。作为该气体,可以使用包含氧的处理气体。而且,从第1高频电源62供给高频电力,从第2高频电源64供给高频偏置电力,且使排气装置50进行动作而将处理容器12内的空间的压力设定为规定压力。由此,生成包含氮气和氢气的处理气体的等离子体。所生成的等离子体中的作为氢的活性种的氢自由基对有机膜OL的所有区域中从掩膜MK3露出的区域进行蚀刻。通过以上,如图4的(b)部所示,有机膜OL被蚀刻,具有与由掩膜MK3的提供的开口OP3的宽度(参考图4的(a)部)相同的宽度的开口OP4的掩膜OLM由有机膜OL形成。掩膜ALM和掩膜OLM构成针对被蚀刻层EL的掩膜MK4。掩膜MK4提供的开口OP4的宽度的值与掩膜MK3提供的开口OP3的宽度(W3[nm])的值(W4[nm])相同。通过序列SQ2而掩膜MK3的开口OP3的宽度的均匀性与掩膜MK3的疏密(掩膜MK2的疏密)无关而得以提高,并且掩膜MK3的形状(LWR及LER)也良好,因此掩膜MK4的开口OP4的宽度的均匀性也与掩膜MK4的疏密(掩膜MK3的疏密)无关而得以提高,并且掩膜MK4的形状(LWR及LER)也变良好。
如以上,通过执行工序ST2~ST7的一系列的工序,与掩膜的疏密无关地将维持形状且蚀刻率得以提高的掩膜MK3形成在有机膜OL上,因此能够通过这种良好的形状的掩膜MK3对有机膜OL进行蚀刻,且良好地对有机膜OL进行蚀刻。
以下,为了方法MT的评价,对使用等离子体处理装置10进行的试验进行说明。分别对具备下述构成的晶片(密)及晶片(疏)的每一个进行实验。晶片(密)及晶片(疏)为晶片W的实施例。晶片(密)中,掩膜以密状态形成,晶片(疏)中,掩膜以疏状态形成。
<晶片(密)>
·掩膜MK1的掩膜宽度的值(W1[nm])与开口OP1的宽度的值(W2[nm])之比(W1:W2):1比1(1:1)
·掩膜MK1的掩膜高的值(HG1[nm]):40[nm]
·掩膜MK1的开口OP1的宽度的值(W2[nm]):45.0[nm]
<晶片(疏)>
·掩膜MK1的掩膜宽度的值(W1[nm])与开口OP1的宽度的值(W2[nm])之比(W1:W2):1比5(1:5)
·掩膜MK1的掩膜高的值(HG1[nm]):40[nm]
·掩膜MK1的开口OP1的宽度的值(W2[nm]):225[nm]
对晶片(密)及晶片(疏)的每一个,替代工序ST2~ST8的一系列的处理而通过基于下述条件的通常的RIE(Reactive Ion Etching:反应离子蚀刻)进行防反射膜AL及有机膜OL的蚀刻,从而得到了下述结果。
<条件>
(防反射膜AL的蚀刻)
·处理容器12内的压力的值[mTorr]:15[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、400[W]
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、100[W]
·处理气体:CF4气体
·处理气体的流量[sccm]:150[sccm]
·处理时间[s]:30[s]
(有机膜OL的蚀刻)
·处理容器12内的压力的值[mTorr]:20[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、1000[W]
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、200[W]
·处理气体:N2/H2气体
·处理气体的流量[sccm]:(N2气体)200[sccm]、(H2气体)200[sccm]
·处理时间[s]:40[s]
对晶片(密)及晶片(疏)的每一个,在工序ST1~ST8的一系列的处理中,不进行工序ST2~ST5的一系列的处理而仅进行工序ST1、序列SQ2(工序ST6a~ST6d)、工序ST7及工序ST8,由此进行防反射膜AL及有机膜OL的蚀刻,从而得到了下述结果。
<条件>
(供给第1气体:工序ST6a)
·工序ST6a中的处理容器12内的压力的值[mTorr]:30[mTorr]
·工序ST6a中的第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、100[W]
·工序ST6a中的第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、0[W]
·电源70的直流电压的值[V]:-1000[V]
·工序ST6a中的处理气体:CF4/Ar气体
·工序ST6a中的处理气体的流量[sccm]:(CF4气体)300[sccm]、(Ar气体)300[sccm]
·工序ST6a中的处理时间[s]:10[s]
(生成第2气体的等离子体:工序ST6c)
·工序ST6c中的处理容器12内的压力的值[mTorr]:30[mTorr]
·工序ST6c中的第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、100[W]
·工序ST6c中的第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、30[W]
·工序ST6c中的处理气体:Ar气体
·工序ST6c中的处理气体的流量[sccm]:300[sccm]
·处理时间[s]:25[s]
(序列SQ2的结束的判定:工序S7)
·序列SQ2的重复次数:30次
(对有机膜OL进行蚀刻:工序ST8)
·处理容器12内的压力的值[mTorr]:20[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、1000[W]
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、200[W]
·处理气体:N2/H2气体
·处理气体的流量[sccm]:(N2气体)200[sccm]、(H2气体)200[sccm]
·处理时间[s]:45[s]
对晶片(密)及晶片(疏)的每一个进行工序ST1~ST8的一系列的处理,由此进行防反射膜AL及有机膜OL的蚀刻,从而得到了下述结果。
<条件>
(二次电子的照射:工序ST2)
·处理容器12内的压力的值[mTorr]:30[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、100[W]
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、0[W]
·电源70的直流电压的值[V]:-1000[V]
·处理气体:H2/Ar气体
·处理气体的流量[sccm]:(H2气体)60[sccm]、(Ar气体)300[sccm]
·处理时间[s]:10[s]
(供给第1气体:工序ST3a)
·处理容器12内的压力的值[mTorr]:500[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、0[W]
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、0[W]
·处理气体:含有有机物的氨基硅烷系气体
·处理气体的流量[sccm]:50[sccm]
·处理时间[s]:15[s]
(供给第2气体:工序ST3c)
·处理容器12内的压力的值[mTorr]:200[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、300[W]
·脉冲频率:10[kHz]、50%
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、0[W]
·处理气体:CO2气体
·处理气体的流量[sccm]:300[sccm]
·处理时间[s]:5[s]
(序列SQ1的结束的判定:工序S4)
·序列SQ1的重复次数:20次
(回蚀:工序ST5)
·处理容器12内的压力的值[mTorr]:50[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、300[W]
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、150[W]
·电源70的直流电压的值[V]:0[V]
·处理气体:CF4气体
·处理气体的流量[sccm]:150[sccm]
·处理时间[s]:4[s]
(生成第3气体的等离子体:工序ST6a)
·工序ST6a中的处理容器12内的压力的值[mTorr]:30[mTorr]
·工序ST6a中的第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、100[W]
·工序ST6a中的第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、0[W]
·电源70的直流电压的值[V]:-1000[V]
·工序ST6a中的处理气体:CF4/Ar气体
·工序ST6a中的处理气体的流量[sccm]:(CF4气体)300[sccm]、(Ar气体)300[sccm]
·工序ST6a中的处理时间[s]:10[s]
(生成第4气体的等离子体:工序ST6c)
·工序ST6c中的处理容器12内的压力的值[mTorr]:30[mTorr]
·工序ST6c中的第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、100[W]
·工序ST6c中的第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、0[W]
·电源70的直流电压的值[V]:0[V]
·工序ST6c中的处理气体:Ar气体
·工序ST6c中的处理气体的流量[sccm]:300[sccm]
·处理时间[s]:25[s]
(序列SQ2结束的判定:工序S7)
·序列SQ2的重复次数:30次
(有机膜OL的蚀刻:工序ST8)
·处理容器12内的压力的值[mTorr]:20[mTorr]
·第1高频电源62的频率的值[MHz]及高频电力的值[W]:60[MHz]、1000[W]
·第2高频电源64的频率的值[MHz]及偏置电力的值[W]:13.56[MHz]、200[W]
·处理气体:N2/H2气体
·处理气体的流量[sccm]:(N2气体)200[sccm]、(H2气体)200[sccm]
·处理时间[s]:45[s]
以上,在优选的实施方式中通过图示对本发明的原理进行了说明,但本领域人员将会理解本发明在不脱离这种原理的情况下可在配置及详细内容中进行变更。本发明并不限定于本实施方式中公开的特定构成。因此,对权利要求书及根据其精神范围进行的所有修正及变更主张权利。
符号说明
10-等离子体处理装置,12-处理容器,12e-排气口,12g-搬入搬出口,14-支撑部,18a-第1板,18b-第2板,22-直流电源,23-开关,24-制冷剂流路,26a-配管,26b-配管,28-供气管道,30-上部电极,32-绝缘性遮蔽部件,34-电极板,34a-吐气孔,36-电极支撑体,36a-气体扩散室,36b-气体流通孔,36c-气体导入口,38-供气管,40-气源组,42-阀组,44-流量控制器组,46-沉积挡板,48-排气板,50-排气装置,52-排气管,54-闸阀,62-第1高频电源,64-第2高频电源,66-匹配器,68-匹配器,70-电源,AL-防反射膜,ALM-掩膜,Cnt-控制部,EL-被蚀刻层,ESC-静电吸盘,FR-聚焦环,G1-第1气体,HP-加热器电源,HT-加热器,LE-下部电极,Ly1-层,Ly2-层,MK1-掩膜,MK2-掩膜,MK3-掩膜,MK4-掩膜,MS-掩膜,OL-有机膜,OLM-掩膜,OP1-开口,OP2-开口,OP3-开口,OP4-开口,P1-等离子体,PD-载置台,R1-区域,R2-区域,R3-区域,S-处理空间,SB-基板,SX-保护膜,W-晶片。

Claims (12)

1.一种对被处理物进行处理的方法,其中,
所述被处理物具备被蚀刻层、设置在该被蚀刻层上的有机膜、设置在该有机膜上的防反射膜及设置在该防反射膜上的第1掩膜,
该方法具备:
在收容有所述被处理物的等离子体处理装置的处理容器内,在所述第1掩膜的表面保形地形成保护膜的工序;及
在执行完保形地形成所述保护膜的所述工序之后,使用形成有该保护膜的所述第1掩膜,通过在所述处理容器内产生的等离子体按原子层去除所述防反射膜,并对该防反射膜进行蚀刻的工序。
2.根据权利要求1所述的方法,其还具备如下工序:在执行保形地形成所述保护膜的所述工序之前,在所述处理容器内产生等离子体并对设置在所述处理容器的平行平板电极的上部电极施加负的直流电压,由此对所述第1掩膜照射二次电子。
3.根据权利要求2所述的方法,其中,
所述上部电极的电极板含有硅,
在对所述第1掩膜照射二次电子的所述工序中,在所述处理容器内产生等离子体并对所述上部电极施加负的直流电压,由此从所述电极板释放硅并用包含该硅的氧化硅化合物覆盖所述第1掩膜。
4.根据权利要求1至3中任一项所述的方法,其中,
保形地形成所述保护膜的所述工序通过重复执行第1序列而在所述第1掩膜的所述表面保形地形成所述保护膜,该第1序列包括:
第1工序,向所述处理容器内供给第1气体;
第2工序,在执行完所述第1工序之后,对所述处理容器内的空间进行吹扫;
第3工序,在执行完所述第2工序之后,在所述处理容器内生成第2气体的等离子体;及
第4工序,在执行完所述第3工序之后,对所述处理容器内的空间进行吹扫;
所述第1工序中未生成所述第1气体的等离子体。
5.根据权利要求4所述的方法,其中,
所述第1气体包含氨基硅烷系气体。
6.根据权利要求5所述的方法,其中,
所述第1气体的氨基硅烷系气体包含具有1~3个硅原子的氨基硅烷。
7.根据权利要求5或6所述的方法,其中,
所述第1气体的氨基硅烷系气体包含具有1~3个氨基的氨基硅烷。
8.根据权利要求4至7中任一项所述的方法,其中,
所述第2气体包含含有氧原子及碳原子的气体。
9.根据权利要求1至8中任一项所述的方法,其中,
对所述防反射膜进行蚀刻的所述工序通过重复执行第2序列,并按原子层去除所述防反射膜而对该防反射膜进行蚀刻,该第2序列包括:
第5工序,在执行完保形地形成所述保护膜的所述工序之后,在所述处理容器内生成第3气体的等离子体,并在所述防反射膜的表面的原子层形成含有该等离子体所包含的自由基的混合层;
第6工序,在执行完所述第5工序之后,对所述处理容器内的空间进行吹扫;
第7工序,在执行完所述第6工序之后,在所述处理容器内生成第4气体的等离子体,并对该等离子体施加偏置电压而去除所述混合层;及
第8工序,在执行完所述第7工序之后,对所述处理容器内的空间进行吹扫。
10.根据权利要求9所述的方法,其中,
所述第3气体包含氟碳系气体和稀有气体。
11.根据权利要求9或10所述的方法,其中,
所述第4气体包含稀有气体。
12.根据权利要求1至11中任一项所述的方法,其还包括如下工序,在执行完对所述防反射膜进行蚀刻的所述工序之后,通过在所述处理容器内产生的等离子体,并使用第2掩膜对所述有机膜进行蚀刻处理,
所述第2掩膜在对所述防反射膜进行蚀刻的所述工序中,由所述第1掩膜和该防反射膜形成。
CN201780020010.3A 2016-03-29 2017-03-27 对被处理物进行处理的方法 Active CN108885991B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2016065806 2016-03-29
JP2016-065806 2016-03-29
JP2016-147477 2016-07-27
JP2016147477A JP6784530B2 (ja) 2016-03-29 2016-07-27 被処理体を処理する方法
PCT/JP2017/012407 WO2017170411A1 (ja) 2016-03-29 2017-03-27 被処理体を処理する方法

Publications (2)

Publication Number Publication Date
CN108885991A true CN108885991A (zh) 2018-11-23
CN108885991B CN108885991B (zh) 2023-06-30

Family

ID=60008666

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780020010.3A Active CN108885991B (zh) 2016-03-29 2017-03-27 对被处理物进行处理的方法

Country Status (4)

Country Link
US (1) US10714340B2 (zh)
JP (2) JP6784530B2 (zh)
CN (1) CN108885991B (zh)
TW (1) TWI707382B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111627809A (zh) * 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP7178918B2 (ja) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1369021A (zh) * 1999-08-17 2002-09-11 东京电子株式会社 脉冲等离子体处理方法及其设备
JP2004228231A (ja) * 2003-01-21 2004-08-12 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JPWO2004003988A1 (ja) * 2002-06-27 2006-10-26 東京エレクトロン株式会社 プラズマ処理方法
CN102347231A (zh) * 2010-07-26 2012-02-08 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
JP2013178574A (ja) * 2013-05-23 2013-09-09 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法および基板処理装置
CN104716025A (zh) * 2013-12-13 2015-06-17 东京毅力科创株式会社 蚀刻方法
US20150243524A1 (en) * 2012-09-13 2015-08-27 Tokyo Electron Limited Method of processing target object and plasma processing apparatus

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
KR100598105B1 (ko) 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
MY148830A (en) * 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
KR101101785B1 (ko) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
JP6169701B2 (ja) * 2013-08-09 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6240489B2 (ja) * 2013-12-06 2017-11-29 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1369021A (zh) * 1999-08-17 2002-09-11 东京电子株式会社 脉冲等离子体处理方法及其设备
JPWO2004003988A1 (ja) * 2002-06-27 2006-10-26 東京エレクトロン株式会社 プラズマ処理方法
JP2004228231A (ja) * 2003-01-21 2004-08-12 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
CN102347231A (zh) * 2010-07-26 2012-02-08 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US20150243524A1 (en) * 2012-09-13 2015-08-27 Tokyo Electron Limited Method of processing target object and plasma processing apparatus
JP2013178574A (ja) * 2013-05-23 2013-09-09 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法および基板処理装置
CN104716025A (zh) * 2013-12-13 2015-06-17 东京毅力科创株式会社 蚀刻方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111627809A (zh) * 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
CN111627809B (zh) * 2019-02-28 2024-03-22 东京毅力科创株式会社 基片处理方法和基片处理装置
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method

Also Published As

Publication number Publication date
TW201807742A (zh) 2018-03-01
JP6784530B2 (ja) 2020-11-11
JP2021007186A (ja) 2021-01-21
TWI707382B (zh) 2020-10-11
CN108885991B (zh) 2023-06-30
US10714340B2 (en) 2020-07-14
US20190108997A1 (en) 2019-04-11
JP7061653B2 (ja) 2022-04-28
JP2017183689A (ja) 2017-10-05

Similar Documents

Publication Publication Date Title
CN108885990A (zh) 对被处理物进行处理的方法
EP3007205B1 (en) Workpiece processing method
CN105489485B (zh) 处理被处理体的方法
CN105845550B (zh) 被处理体的处理方法
CN108885991A (zh) 对被处理物进行处理的方法
KR102215970B1 (ko) 산할로겐화물을 사용한 원자층 에칭
KR102362462B1 (ko) 피처리체를 처리하는 방법
TW201216359A (en) Plasma processing apparatus and plasma processing method
US20220122840A1 (en) Method for processing workpiece
WO2014014907A1 (en) Method for high aspect ratio photoresist removal in pure reducing plasma
KR20180018416A (ko) 피처리체를 처리하는 방법
KR20190026844A (ko) 피처리체를 처리하는 방법
JP2015018876A (ja) 反応装置のコンディショニング方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant