TW201807742A - 被處理體之處理方法 - Google Patents

被處理體之處理方法 Download PDF

Info

Publication number
TW201807742A
TW201807742A TW106109250A TW106109250A TW201807742A TW 201807742 A TW201807742 A TW 201807742A TW 106109250 A TW106109250 A TW 106109250A TW 106109250 A TW106109250 A TW 106109250A TW 201807742 A TW201807742 A TW 201807742A
Authority
TW
Taiwan
Prior art keywords
gas
mask
film
processing container
plasma
Prior art date
Application number
TW106109250A
Other languages
English (en)
Other versions
TWI707382B (zh
Inventor
木原嘉英
久松亨
大石智之
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201807742A publication Critical patent/TW201807742A/zh
Application granted granted Critical
Publication of TWI707382B publication Critical patent/TWI707382B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供一種被處理體之處理方法在形成高度詳細遮罩的情況,可將遮罩之選擇比的改善、LWR(Line Width Roughness:線寬粗糙度)及LER(Line Edge Roughness:線緣粗糙度)的抑制、圖案的疏密所造成之影響的抑制全部實現。一實施形態中,晶圓W具備被蝕刻層EL、有機膜OL、防止反射膜AL與遮罩MK1;一實施形態之方法MT,包含以下步驟:於收納有該晶圓W之電漿處理裝置10的處理容器12內,藉由在處理容器12內產生之電漿,利用遮罩MK1對防止反射膜AL施行蝕刻處理;而該步驟具備:步驟ST3a~ST4,於遮罩MK1的表面以保形方式形成保護膜SX;以及步驟ST6a~ST7,利用形成在保護膜SX的遮罩MK1,藉由將防止反射膜AL逐原子層地去除,而蝕刻防止反射膜AL。

Description

被處理體之處理方法
本發明之實施形態,係關於被處理體之處理方法,特別是關於包含遮罩的製作之方法。
伴隨半導體之細微化,在次世代的微影技術中,使用13.5[nm]之EUV(Extreme Ultra-Violet:極紫外線)光,相較於製造現今最先進裝置所使用之ArF準分子雷射光(波長:193[nm]),波長短一位數。因下述等現象,而使EUV微影用光阻的膜厚薄膜化:伴隨波長的短波長化,光的吸收變大;以及在利用EUV微影之世代中,因光阻圖案寬度細微化而使光阻圖案之寬高比變大,變得容易發生圖案崩塌。具體而言,相對於光阻圖案寬度為約3以下之寬高比為實用等級。亦即,在將係疊層遮罩的最上層之光阻膜予以EUV加工的情況,在圖案寬度為10[nm]之世代中光阻膜的高度為30[nm]程度,在圖案寬度為7[nm]之世代中光阻膜的高度成為20[nm]程度。
近年的半導體裝置,由於必須形成更細微的圖案,故光阻之線圖案邊緣形狀的不穩定對裝置性能造成的影響浮顯。線圖案邊緣形狀之粗糙度(roughness),係將LWR(Line Width Roughness:線寬的差異[nm])及LER(Line Edge Roughness:線緣之位置的差異[nm])作為指標表示。在係遮罩形狀差異的指標之LER或LWR增加的情況,妨礙閘極之漏電流或閾値電壓之穩定,造成閘極長度的不穩定,可能在LSI電路內之各個電晶體性能產生差異。
半導體積體電路中,於同一晶圓上,存在有設置記憶體、邏輯部等之面積密度大的密集圖案領域,以及設置周邊電路部等之面積密度小的稀疏圖案領域。因此,在用於製造此等半導體積體電路之蝕刻步驟中,必須有無關於圖案的疏密,而實現以微影形成之期望的圖案尺寸精度之控制技術。在專利文獻1、2中揭露圖案形成之技術。
於專利文獻1記載之電漿蝕刻性能強化方法,其目的在於提供一種方法,使用電漿,將以蝕刻遮罩決定之構造予以蝕刻,藉而在半導體晶圓上之介電層,蝕刻形成不具有彎曲之特徵部。專利文獻1記載之方法,在介電層上形成遮罩,於遮罩之露出面形成含保護用矽之被覆層,隔著遮罩及含保護用矽之被覆層蝕刻特徵部。此外,在其他方法中,於形成含保護用矽之被覆層前,部分地蝕刻該特徵部。如此地,專利文獻1記載之技術,使用電漿,將含保護用矽之被覆層,形成在光阻遮罩上、及經部分地蝕刻之特徵部的側壁上。
於專利文獻2記載之電漿蝕刻方法,其目的在於提供一種,在使用經EUV曝光之光阻予以電漿蝕刻之電漿蝕刻方法中,可抑制加工尺寸的差異之電漿蝕刻方法。專利文獻2記載之方法,在將具有經EUV曝光的光阻、防止反射膜、無機膜及有機膜之多層光阻作為遮罩而電漿蝕刻被蝕刻材的電漿蝕刻方法中,包含:第一步驟,於蝕刻防止反射膜前,使沉積膜沉積在光阻的表面;第二步驟,於第一步驟後,使用Cl2 氣體、HBr氣體、與N2 氣體之混合氣體,蝕刻沉積在防止反射膜上的沉積膜與防止反射膜;第三步驟,於第二步驟後蝕刻無機膜;以及第四步驟,於第三步驟後蝕刻有機膜。如此地,專利文獻2之技術,作為可使用EUV光阻而抑制加工尺寸的差異之手法,於蝕刻被蝕刻材前,使用電漿使沉積膜沉積在光阻層的表面。 [習知技術文獻] [專利文獻]
專利文獻1:日本特開2008-60566號公報 專利文獻2:日本特開2014-107520號公報
[本發明所欲解決的問題] 如同上述的極細微之圖案形成所使用的EUV微影用光阻,由於微影之極限,而有習知之ArF光阻膜厚之一半以下的膜厚。因此,在以此等較薄的膜形成極細微之遮罩圖案的情況,於硬化(Cure)步驟、蝕刻防止反射膜之步驟、及蝕刻有機膜之步驟中,必須改善遮罩之選擇比、抑制LWR及LER、並抑制圖案的疏密所造成之影響(因圖案的疏密而使圖案形狀相異等)。
作為用於改善遮罩之選擇比的習知技術,具有在蝕刻防止反射膜時使用沉積性氣體在遮罩上形成保護膜的技術。然而,此一情況,由於起因於蝕刻時之沉積的聚合反應之應力,而可能使LWR及LER增加。此外,蝕刻時之沉積物的附著程度,取決於圖案密度,故因圖案的疏密,而使沉積物的附著程度變得不均一,因此,可能增大圖案的疏密所造成之影響。
此外,近年,前人提出利用與ALE(Atomic Layer Etching:原子層蝕刻)法相同之手法蝕刻防止反射膜的技術。此一技術,分別獨立控制起因於蝕刻之離子量與自由基量,故藉由使沉積膜(自由基量)呈薄膜(少量)而沉積,而能夠以較低的能量蝕刻防止反射膜。此一技術,在光阻上形成薄層保護膜,選擇性地蝕刻防止反射膜,故可改善遮罩(EUV光阻)之選擇比。進一步,此一技術中,如同上述地使沉積膜薄膜化,因而亦可減少圖案的疏密所造成之影響(因圖案的疏密而使圖案形狀相異等)。然而,此一技術中,藉由離子的碰撞而對被蝕刻層給予能量,故在遮罩上之保護膜的膜厚較薄之情況,保護膜所產生的保護功能降低,可能因光阻濺鍍而使LWR及LER增加。
此外,於專利文獻1記載之技術中,可使用SiF4 氣體及H2 氣體的電漿形成含矽膜之保護膜。然而,此一技術,於圖案存在有疏密領域的情況,可能因圖案的疏密而使成膜量產生差異。
此外,於專利文獻2記載之技術中,可使用CHF3 氣體及Cl2 氣體的電漿形成有機膜之保護膜。然而,在此一技術中,亦形成碳系的聚合膜,故特別在圖案存在有疏密領域的情況,可能因圖案的疏密而使保護量產生差異。
如同上述,在形成高度詳細遮罩的情況,必須將遮罩之選擇比的改善、LWR及LER的抑制、圖案的疏密所造成之影響的抑制全部實現。 [解決問題之技術手段]
在一態樣中,提供一種被處理體之處理方法。被處理體,具備被蝕刻層、設置於該被蝕刻層上之有機膜、設置於該有機膜上之防止反射膜、及設置於該防止反射膜上之第1遮罩。而該被處理體之處理方法,包含以下步驟:在收納有被處理體之電漿處理裝置的處理容器內,於第1遮罩的表面以保形方式形成保護膜之步驟(下稱步驟a);以及於實行步驟a後,利用形成有該保護膜的第1遮罩,藉由在處理容器內產生的電漿將防止反射膜逐原子層地去除,蝕刻該防止反射膜之步驟(下稱步驟b)。
如此地,藉由實行步驟a,將精度經良好控制的保形膜厚之保護膜,無關於遮罩的疏密差地形成在第1遮罩上,維持遮罩的形狀並強化遮罩的對於蝕刻之承受性;藉由實行步驟b,而改善遮罩之選擇比,減少遮罩的形狀(LWR及LER)因蝕刻所受到之影響。
一實施形態中,更包含以下步驟:於實行步驟a前,在處理容器內產生電漿,對設置於處理容器的平行平板電極之上部電極施加負的直流電壓,藉以對第1遮罩照射二次電子(下稱步驟c)。如此地,於實行形成保護膜之步驟a前,對第1遮罩照射二次電子,故可在保護膜形成前將第1遮罩改質,可抑制後續步驟所造成的第1遮罩之損傷。
一實施形態中,上部電極的電極板,含有矽;步驟c,在處理容器內產生電漿,對上部電極施加負的直流電壓,藉以從電極板釋出矽,以包含該矽的氧化矽化合物覆蓋第1遮罩。如此地,在步驟c中,氧化矽化合物覆蓋第1遮罩,故可進一步抑制後續步驟所造成的第1遮罩之損傷。
一實施形態中,步驟a,重複實行包含以下步驟之第1程序:第1步驟,往處理容器內供給第1氣體;第2步驟,於實行第1步驟後,吹掃處理容器內之空間;第3步驟,於實行第2步驟後,在處理容器內產生第2氣體之電漿;以及第4步驟,於實行第3步驟後,吹掃處理容器內之空間;藉由重複實行第1程序,而於第1遮罩的表面以保形方式形成保護膜;而第1步驟,並未產生第1氣體之電漿。如此地,步驟a,藉由與ALD(Atomic Layer Deposition:原子層沉積)法相同之方法,而於第1遮罩的表面之矽化合物上以保形方式形成保護膜,故可改善對於遮罩之保護的強度,並以均一的膜厚形成保護遮罩之保護膜。
一實施形態中,第1氣體,包含含有有機物的胺基矽烷系氣體。如此地因第1氣體包含含有有機物的胺基矽烷系氣體,故藉由第1步驟,而使矽的反應前驅物沿著第1遮罩的表面之原子層形成於第1遮罩上。
一實施形態中,第1氣體之胺基矽烷系氣體,可包含具有1~3個矽原子的胺基矽烷。第1氣體之胺基矽烷系氣體,可包含具有1~3個胺基的胺基矽烷。如此地可於第1氣體之胺基矽烷系氣體,使用包含1~3個矽原子的胺基矽烷。此外,第1氣體之胺基矽烷系氣體,可使用包含1~3個胺基的胺基矽烷。
一實施形態中,第2氣體,包含含有氧原子及碳原子的氣體。如此地因第2氣體包含氧原子,故在第3步驟中,該氧原子與形成在第1遮罩上之矽的反應前驅物結合,藉而可於第1遮罩上以保形方式形成氧化矽之保護膜。此外,第2氣體包含碳原子,故可藉由該碳原子抑制氧原子所造成的對於第1遮罩之侵蝕。
一實施形態中,步驟b,重複實行包含以下步驟之第2程序:第5步驟,於實行步驟a後,在處理容器內產生第3氣體之電漿,於防止反射膜的表面之原子層形成含有該電漿所包含的自由基之混合層;第6步驟,於實行第5步驟後,吹掃處理容器內之空間;第7步驟,於實行第6步驟後,在處理容器內產生第4氣體之電漿,對該電漿施加偏電壓以去除混合層;以及第8步驟,於實行第7步驟後,吹掃處理容器內之空間;藉由重複實行該第2程序,將防止反射膜逐原子層地去除,而蝕刻該防止反射膜。如此地,步驟b,藉由與ALE(Atomic Layer Etching:原子層蝕刻)法相同之方法,而可將防止反射膜逐原子層地去除。
一實施形態中,第3氣體,包含氟碳化物系氣體與稀有氣體。如此地,因第3氣體包含氟碳化物系氣體,故在第5步驟中,對防止反射膜的表面之原子層供給氟自由基及碳自由基,而可於該表面之原子層形成含有該兩自由基之混合層。
一實施形態中,第4氣體,包含稀有氣體。如此地,因第4氣體包含稀有氣體,故在第7步驟中,藉由該稀有氣體之電漿由偏電壓接收的能量,而可將形成於防止反射膜的表面之混合層,從該表面去除。
一實施形態中,更包含有機膜蝕刻步驟,其係於實行步驟b後,藉由在處理容器內產生之電漿,利用第2遮罩對有機膜施行蝕刻處理;第2遮罩,係於步驟b中,由該防止反射膜形成。如此地,藉由實行步驟a、b,而將維持形狀且改善選擇比之遮罩,無關於遮罩的疏密地形成在有機膜上,故使此等形狀良好之遮罩所進行的有機膜之蝕刻成為可能,可良好地施行有機膜之蝕刻。 [本發明之效果]
如同上述說明,在形成高度詳細遮罩的情況,可將遮罩之選擇比的改善、LWR及LER的抑制、圖案的疏密所造成之影響的抑制全部實現。
以下,參考附圖茲就各種實施形態予以詳細說明。另,對於在附圖中同一或相當的部分附加同一符號。
以下,參考圖1,對於可利用電漿處理裝置10實施之蝕刻方法(方法MT)予以說明。圖1為,顯示一實施形態之方法的流程圖。圖1所示的一實施形態之方法MT,係被處理體(以下亦稱作「晶圓」)之處理方法。方法MT,為蝕刻晶圓的方法之一例。一實施形態之方法MT,可利用單一電漿處理裝置實行一系列的步驟。
圖2為,顯示電漿處理裝置之一例的圖。於圖2,概略示意可在被處理體之處理方法的各種實施形態利用之電漿處理裝置10的剖面構造。如圖2所示,電漿處理裝置10,為具備平行平板之電極的電漿蝕刻裝置,具有處理容器12。處理容器12,具有略圓筒形狀。處理容器12,例如由鋁構成,對其內壁面施行陽極氧化處理。處理容器12係安全接地。
於處理容器12之底部上,設置略圓筒狀的支持部14。支持部14,例如由絕緣材料構成。構成支持部14之絕緣材料,可如石英般地包含氧。支持部14,在處理容器12內,從處理容器12之底部起往鉛直方向延伸。於處理容器12內,設置載置台PD。載置台PD,係藉由支持部14支持。
載置台PD,在載置台PD的頂面中保持晶圓W。載置台PD,具有下部電極LE及靜電吸盤ESC。下部電極LE,包含第1板18a及第2板18b。第1板18a及第2板18b,例如由鋁等金屬構成,呈略圓盤形狀。第2板18b,設置於第1板18a上,與第1板18a電性連接。
於第2板18b上,設置靜電吸盤ESC。靜電吸盤ESC,具有將係導電膜的電極,配置在一對絕緣層之間或一對絕緣片之間的構造。靜電吸盤ESC的電極,通過開關23而與直流電源22電性連接。靜電吸盤ESC,以藉由來自直流電源22的直流電壓所產生之庫侖力等靜電力吸附晶圓W。藉此,靜電吸盤ESC,可保持晶圓W。
於第2板18b之邊緣部上,以包圍晶圓W邊緣及靜電吸盤ESC的方式配置對焦環FR。對焦環FR,係為了改善蝕刻之均一性而設置。對焦環FR,係由依照蝕刻對象的膜之材料而適當選擇的材料所構成,例如可由石英構成。
於第2板18b之內部,設置冷媒流路24。冷媒流路24,構成溫度調節機構。於冷媒流路24,從設置於處理容器12之外部的急冷器單元(圖示省略)起,通過配管26a而供給冷媒。供給至冷媒流路24的冷媒,通過配管26b而回到急冷器單元。如此地,於冷媒流路24,供給冷媒而使其循環。藉由控制此一冷媒的溫度,而控制以靜電吸盤ESC支持之晶圓W的溫度。
於電漿處理裝置10,設置氣體供給線28。氣體供給線28,將來自熱傳氣體供給機構的熱傳氣體,例如He氣體,往靜電吸盤ESC的頂面與晶圓W的背面之間供給。
於電漿處理裝置10,設置係加熱元件之加熱器HT。加熱器HT,例如嵌入至第2板18b內。加熱器HT,與加熱器電源HP相連接。藉由從加熱器電源HP對加熱器HT供給電力,而調整載置台PD的溫度,以調整載置於載置台PD上之晶圓W的溫度。另,加熱器HT,亦可內建於靜電吸盤ESC。
電漿處理裝置10,具備上部電極30。上部電極30,在載置台PD之上方中,與載置台PD對向配置。下部電極LE與上部電極30,彼此略平行地設置,構成平行平板電極。在上部電極30與下部電極LE之間,提供用於對晶圓W施行電漿處理的處理空間S。
上部電極30,藉由絕緣性遮蔽構件32,而支持在處理容器12之上部。絕緣性遮蔽構件32,由絕緣材料構成,例如可如石英般地包含氧。上部電極30,可包含電極板34及電極支持體36。電極板34面向處理空間S,於該電極板34設置複數個氣體噴吐孔34a。電極板34,在一實施形態中含有矽。在另一實施形態中,電極板34可含有氧化矽。
電極支持體36,以可任意裝卸的方式支持電極板34,例如可由鋁等導電性材料構成。電極支持體36,可具有水冷構造。於電極支持體36之內部,設置氣體擴散室36a。從氣體擴散室36a起,與氣體噴吐孔34a連通之複數個氣體流通孔36b往下方延伸。於電極支持體36,形成將處理氣體往氣體擴散室36a引導的氣體導入口36c,於氣體導入口36c,連接氣體供給管38。
氣體供給管38,通過閥群42及流量控制器群44,而與氣體源群40連接。氣體源群40,具有複數個氣體源。複數個氣體源,可包含含有有機物的胺基矽烷系氣體之氣體源、氟碳化物系氣體(Cx Fy 氣體(x、y為1~10的整數))之氣體源、具有氧原子及碳原子的氣體(例如二氧化碳氣體等)之氣體源、氮氣之氣體源、含氫氣體之氣體源、及稀有氣體之氣體源。作為氟碳化物系氣體,可使用CF4 氣體、C4 F6 氣體、C4 F8 氣體等任意氟碳化物系氣體。作為胺基矽烷系氣體,可使用胺基數目較少之分子構造者,例如可使用單胺基矽烷(H3 -Si-R(R包含有機物,為可取代的胺基))。此外,上述胺基矽烷系氣體(後述第1氣體G1所包含的氣體),可包含可具有1~3個矽原子的胺基矽烷、或可包含具有1~3個胺基的胺基矽烷。具有1~3個矽原子的胺基矽烷,可為具有1~3個胺基的單矽烷(單胺基矽烷),具有1~3個胺基的二矽烷、或具有1~3個胺基的三矽烷。進一步,上述胺基矽烷,可具有可取代的胺基。進一步,上述胺基,可藉由甲基、乙基、丙基、及丁基之任一予以取代。進一步,上述甲基、乙基、丙基、或丁基,可藉由鹵素予以取代。作為稀有氣體,可使用Ar氣體、He氣體等任意稀有氣體。
閥群42包含複數個閥,流量控制器群44包含質量流量控制器等複數個流量控制器。氣體源群40之複數個氣體源,各自通過閥群42之對應的閥及流量控制器群44之對應的流量控制器,而與氣體供給管38連接。因此,電漿處理裝置10,可將來自從氣體源群40之複數個氣體源中選擇出的一個以上之氣體源的氣體,以經個別調整的流量,往處理容器12內供給。
電漿處理裝置10中,沿著處理容器12之內壁,以可任意裝卸的方式設置防沉積遮蔽件46。防沉積遮蔽件46,亦設置於支持部14之外周。防沉積遮蔽件46,防止蝕刻副產物(沉積物)附著在處理容器12,可藉由將Y2 O3 等陶瓷被覆於鋁材而構成。防沉積遮蔽件,除了Y2 O3 以外,例如可由如石英般地包含氧的材料構成。
排氣板48,設置於處理容器12的底部側,且位於支持部14與處理容器12的側壁之間。排氣板48,例如可藉由將Y2 O3 等陶瓷被覆於鋁材而構成。排氣口12e,設置於處理容器12,且位於排氣板48的下方。排氣口12e,通過排氣管52而與排氣裝置50相連接。排氣裝置50,具有渦輪分子泵等真空泵,可將處理容器12內之空間減壓至期望的真空度。於處理容器12的側壁設置晶圓W之搬出入口12g,藉由閘閥54而可將搬出入口12g開啟關閉。
電漿處理裝置10,進一步具備第1高頻電源62及第2高頻電源64。第1高頻電源62,為產生電漿產生用的第1高頻電力之電源,其產生27~100[MHz]之頻率,在一例中為60[MHz]的高頻電力。此外,第1高頻電源62,具備脈衝規格,可控制在頻率5~10[kHz]、Duty50~100%。第1高頻電源62,通過匹配器66而與上部電極30相連接。匹配器66,係將第1高頻電源62之輸出阻抗與負載側(下部電極LE側)之輸入阻抗匹配所用的電路。另,第1高頻電源62,亦可通過匹配器66而與下部電極LE相連接。
第2高頻電源64,係產生用於將離子導入至晶圓W的第2高頻電力,即高頻偏壓電力的電源,其產生400[kHz]~40.68[MHz]的範圍內之頻率,在一例中為13.56[MHz]之頻率的高頻偏壓電力。此外,第2高頻電源64,具備脈衝規格,可控制在頻率5~40[kHz]、Duty20~100%。第2高頻電源64,通過匹配器68而與下部電極LE相連接。匹配器68,係將第2高頻電源64之輸出阻抗與負載側(下部電極LE側)之輸入阻抗匹配所用的電路。
電漿處理裝置10,進一步具備電源70。電源70,與上部電極30相連接。電源70,對上部電極30,施加用於將存在於處理空間S內之陽離子導入電極板34的電壓。在一例中,電源70,係產生負的直流電壓之直流電源。若從電源70對上部電極30施加此等電壓,則存在於處理空間S之陽離子,碰撞電極板34。藉此,從電極板34釋出二次電子及/或矽。
一實施形態中,電漿處理裝置10,可進一步具備控制部Cnt。控制部Cnt,係具備處理器、記憶部、輸入裝置、顯示裝置等之電腦,其控制電漿處理裝置10的各部。具體而言,控制部Cnt,與閥群42、流量控制器群44、排氣裝置50、第1高頻電源62、匹配器66、第2高頻電源64、匹配器68、電源70、加熱器電源HP、及急冷器單元相連接。
控制部Cnt,遵循依據輸入之配方的程式而動作,送出控制訊號。藉由來自控制部Cnt的控制訊號,而可控制從氣體源群40供給的氣體之選擇及流量、排氣裝置50之排氣、來自第1高頻電源62及第2高頻電源64之電力供給、來自電源70之電壓施加、加熱器電源HP之電力供給、來自急冷器單元之冷媒流量及冷媒溫度。另,本說明書中揭露的被處理體之處理方法MT的各步驟,可藉由以控制部Cnt所進行的控制使電漿處理裝置10的各部動作,而予以實行。
參考圖3的(a)部,說明在圖1所示之方法MT的步驟ST1準備之晶圓W的主要構造。圖3為,顯示圖1所示的各步驟之實施前及實施後的被處理體之狀態的剖面圖。
步驟ST1中準備之晶圓W,如圖3的(a)部所示,具備:基板SB、被蝕刻層EL、有機膜OL、防止反射膜AL、及遮罩MK1(第1遮罩)。被蝕刻層EL,設置於基板SB上。被蝕刻層EL,係由相對於有機膜OL被選擇性地蝕刻之材料構成的層,使用絕緣膜。被蝕刻層EL,例如可由氧化矽(SiO2 )構成。另,被蝕刻層EL,可由多晶矽等其他材料構成。
有機膜OL,設置於被蝕刻層EL上。有機膜OL,為包含碳的層,例如為SOH(Spin On Hardmask:旋塗式硬罩)層。防止反射膜AL,為含矽之防止反射膜,設置於有機膜OL上。
遮罩MK1,設置於防止反射膜AL上。遮罩MK1,為由光阻材料構成的光阻遮罩,其係以光微影技術將光阻層圖案化藉而製作。遮罩MK1,例如可為ArF光阻。遮罩MK1,部分地覆蓋防止反射膜AL。遮罩MK1,區畫出使防止反射膜AL部分地露出的開口OP1。遮罩MK1的圖案,例如為線與間隔(Line and space)圖案,但可具有俯視時提供圓形之開口的圖案、俯視時提供橢圓形之開口的圖案等,其他各種形狀的圖案。防止反射膜AL上的遮罩MK1,具有HG1[nm]之値的高度。以下,將遮罩MK1之寬度(W1[nm]),與遮罩MK1提供的開口OP1之寬度(W2[nm])的比,為1:1程度之情況稱作遮罩「密」(晶圓(密)),將該比為1:5程度之情況稱作遮罩「疏」(晶圓(疏))。
回到圖1,繼續對於方法MT之說明。下述說明中,連同圖1,參考圖3、圖4、圖5而予以說明。圖3為,顯示圖1所示的各步驟之實施前及實施後的被處理體之狀態的剖面圖。圖4為,顯示圖1所示之方法的各步驟之實施後的被處理體之狀態的剖面圖。圖5為,示意圖1所示的形成保護膜之程序中的保護膜之形成樣子的圖。
步驟ST1,準備圖3的(a)部所示之晶圓W,將晶圓W收納於電漿處理裝置10的處理容器12內,載置於靜電吸盤ESC上。在步驟ST1中準備圖3的(a)部所示之上述晶圓W以作為圖2所示之晶圓W後,實行步驟ST2以後的各步驟。
接續步驟ST1的步驟ST2,對晶圓W照射二次電子。步驟ST2,係於實行將氧化矽之保護膜(保護膜SX)以保形方式形成在遮罩MK1之程序SQ1及步驟ST4前,在處理容器12內產生電漿,對上部電極30施加負的直流電壓,藉以對遮罩MK1照射二次電子之步驟。
如同上述,在實行形成保護膜SX的程序SQ1~步驟ST4之一系列的步驟前,對遮罩MK1照射二次電子,故可在保護膜SX形成前將遮罩MK1改質,可抑制後續步驟所造成的遮罩MK1之損傷。
具體說明步驟ST2之處理內容。首先,往處理容器12內供給氫氣及稀有氣體,從第1高頻電源62供給高頻電力,藉而在處理容器12內產生電漿。從氣體源群40之複數個氣體源中的選擇出之氣體源,往處理容器12內供給氫氣及稀有氣體。因此,將處理空間S中的陽離子導入上部電極30,該陽離子碰撞上部電極30。藉由使陽離子碰撞上部電極30,而從上部電極30釋出二次電子。藉由對晶圓W照射釋出的二次電子,而將遮罩MK1改質。進一步,藉由使陽離子碰撞電極板34,而將係電極板34之構成材料的矽,與二次電子一同釋出。釋出的矽,與從暴露於電漿的電漿處理裝置10之構成構件釋出的氧結合。該氧,例如從支持部14、絕緣性遮蔽構件32、及防沉積遮蔽件46等構件釋出。藉由矽與氧的結合,而產生氧化矽化合物,該氧化矽化合物沉積於晶圓W上而覆蓋保護遮罩MK1。如此地,對遮罩MK1照射二次電子之步驟ST2中,在處理容器12內產生電漿,對上部電極30施加負的直流電壓,藉以對遮罩MK1照射二次電子,並從電極板34釋出矽,以包含該矽的氧化矽化合物覆蓋遮罩MK1。接著,對遮罩MK1照射二次電子,在以氧化矽化合物覆蓋遮罩MK1後吹掃處理容器12內之空間,前往步驟ST3a。
如同上述,在步驟ST2中,氧化矽化合物覆蓋遮罩MK1,故可進一步抑制後續步驟所造成的遮罩MK1之損傷。
另,步驟ST2中,為了進行二次電子之照射所產生的改質、保護膜的形成,亦可使第2高頻電源64之偏壓電力為最小限度而抑制矽的釋出。此外,在方法MT中亦可將步驟ST2去除。
接續步驟ST2,依序實行程序SQ1、步驟ST5、程序SQ2、及步驟ST7(程序SQ1~步驟ST7)。程序SQ1~步驟ST5之一系列的步驟,係將氧化矽膜之保護膜SX以保形方式形成在遮罩MK1的表面之步驟;程序SQ2~步驟ST7之一系列的步驟,係於實行程序SQ1~步驟ST5之一系列的步驟後,利用形成有氧化矽膜之保護膜SX的遮罩MK1,將防止反射膜AL逐原子層地去除,藉而精密地蝕刻防止反射膜AL之步驟。如此地,藉由實行程序SQ1~步驟ST5之一系列的步驟,而將精度經良好控制的保形膜厚之保護膜SX,無關於遮罩的疏密差地形成在遮罩上,維持遮罩的形狀並強化遮罩的對於蝕刻之承受性;此外,藉由實行程序SQ2~步驟ST7之一系列的步驟,而改善遮罩之選擇比,減少遮罩的形狀(LWR(Line Width Roughness:線寬粗糙度)及LER(Line Edge Roughness:線緣粗糙度))因蝕刻所受到之影響。
接續步驟ST2,實行程序SQ1(第1程序)一次(單位周期)以上。程序SQ1及步驟ST4,係藉由與ALD法相同之方法在晶圓W上以均一的厚度以保形方式形成氧化矽之保護膜SX的步驟,其包含在程序SQ1中依序實行之步驟ST3a(第1步驟)、步驟ST3b(第2步驟)、步驟ST3c(第3步驟)、及步驟ST3d(第4步驟)。
步驟ST3a,往處理容器12內供給第1氣體G1。具體而言,步驟ST3a,如圖5的(a)部所示,往處理容器12內,導入含矽的第1氣體G1。第1氣體G1,包含含有有機物的胺基矽烷系氣體。從氣體源群40之複數個氣體源中的選擇出之氣體源,往處理容器12內供給含有有機物的胺基矽烷系氣體之第1氣體G1。第1氣體G1,作為胺基矽烷系氣體,例如使用單胺基矽烷(H3 -Si-R(R為含有有機物的胺基))。步驟ST3a,並未產生第1氣體G1之電漿。
第1氣體G1之分子,如圖5的(b)部所示,作為反應前驅物(層Ly1)而附著在晶圓W的表面。第1氣體G1之分子(單胺基矽烷),藉由源自化學鍵結之化學吸附而附著在晶圓W的表面,並未使用電漿。步驟ST3a中,晶圓W的溫度,為攝氏0度以上且為遮罩MK1所包含之材料的玻璃轉移溫度以下(例如攝氏200度以下)之程度。另,若為可在該溫度範圍藉由化學鍵結附著於表面且含有矽者,則亦可利用單胺基矽烷以外的氣體。
於第1氣體G1選擇單胺基矽烷理由,係因單胺基矽烷具有較高的負電性且具備具有極性之分子構造,因而可較簡單地施行化學吸附。藉由使第1氣體G1之分子附著在晶圓W的表面而形成之反應前驅物的層Ly1,因該附著係化學吸附故成為接近單分子層(單層)的狀態。單胺基矽烷之胺基(R)越小,則吸附在晶圓W的表面之分子的分子構造亦越小,故起因於分子大小的位阻減少,藉此,可在晶圓W的表面均一地吸附第1氣體G1之分子,可對晶圓W的表面以均一的膜厚形成層Ly1。對晶圓W的表面,可無關於晶圓W的圖案密度而以均一的膜厚並以保形方式形成層Ly1。
如同上述,第1氣體G1包含含有有機物的胺基矽烷系氣體,故藉由步驟ST3a,而使矽的反應前驅物(層Ly1)沿著遮罩MK1的表面之原子層形成於遮罩MK1上。
接續步驟ST3a的步驟ST3b,吹掃處理容器12內之空間。具體而言,將在步驟ST3a中供給的第1氣體G1排氣。步驟ST3b中,作為吹掃氣體,亦可將氮氣或稀有氣體(例如Ar等)等惰性氣體往處理容器12供給。亦即,步驟ST3b的吹掃,可為使惰性氣體在處理容器12內流通的氣體吹掃、或抽真空所造成的吹掃之任一。步驟ST3b,可將過多地附著在晶圓W上之分子亦予以去除。藉由上述方式,反應前驅物的層Ly1,成為極薄的單分子層。
接續步驟ST3b的步驟ST3c,如圖5的(b)部所示,在處理容器12內產生第2氣體之電漿P1。第2氣體,包含含有氧原子及碳原子的氣體,例如可包含二氧化碳氣體。在步驟ST3c中,產生第2氣體的電漿P1時之晶圓W的溫度,為攝氏0度以上且為遮罩MK1所包含之材料的玻璃轉移溫度以下(例如攝氏200度以下)。從氣體源群40之複數個氣體源中的選擇出之氣體源,往處理容器12內供給包含含有氧原子及碳原子的氣體之第2氣體。接著,從第1高頻電源62供給高頻電力。此一情況,亦可施加第2高頻電源64的偏壓電力。此外,亦可不使用第1高頻電源62而僅使用第2高頻電源64產生電漿。藉由使排氣裝置50動作,而將處理容器12內之空間的壓力設定為預先設定的壓力。如此地,在處理容器12內產生第2氣體之電漿P1。
如圖5的(b)部所示,若產生第2氣體之電漿P1,則產生氧的活性種及碳的活性種,例如氧自由基、碳自由基,如圖5的(c)部所示,係氧化矽膜的層Ly2(對應於保護膜SX)形成為單分子層。碳自由基,可達到抑制對遮罩MK1之氧侵蝕的功能,故可將氧化矽膜作為保護膜穩定地形成在遮罩MK1的表面中。氧化矽膜之Si-O鍵結的結合能,為192[kcal]程度,較係形成遮罩之有機膜的各種鍵結種類之C-C鍵結、C-H鍵結、C-F鍵結各自的結合能(50-110[kcal]程度、70-110[kcal]程度、100-120[kcal]程度)更高,故氧化矽膜,可達到作為保護膜的功能。
如同上述,第2氣體包含氧原子,故在步驟ST3c中,該氧原子與形成在遮罩MK1上之矽的反應前驅物(層Ly1)結合,藉而可於遮罩MK1上以保形方式形成氧化矽膜的層Ly2。此外,第2氣體包含碳原子,故可藉由該碳原子抑制氧原子所造成的對於遮罩MK1之侵蝕。因此,在程序SQ1中,與ALD法同樣地,藉由實行1次(單位周期)程序SQ1,可將氧化矽膜的層Ly2,無關於遮罩MK1的疏密而以薄層之均一膜厚以保形方式形成在晶圓W的表面上。
接續步驟ST3c的步驟ST3d,吹掃處理容器12內之空間。具體而言,將在步驟ST3c中供給的第2氣體排氣。步驟ST3d中,作為吹掃氣體,亦可將氮氣或稀有氣體(例如Ar等)等惰性氣體往處理容器12供給。亦即,步驟ST3d的吹掃,可為使惰性氣體在處理容器12內流通的氣體吹掃、或抽真空所造成的吹掃之任一。
接續程序SQ1的步驟ST4,判定是否結束程序SQ1之實行。具體而言,步驟ST4,判定程序SQ1之實行次數是否達到預先設定的次數。程序SQ1之實行次數的決定,決定圖3的(b)部所示之形成在晶圓W上的保護膜SX之膜的厚度。亦即,以藉由實行1次(單位周期)程序SQ1而形成的氧化矽膜之膜厚與程序SQ1之實行次數的積,可實質上決定最終形成於晶圓W上的保護膜SX之膜的厚度。因此,可因應形成於晶圓W上之保護膜SX的期望厚度,而設定程序SQ1之實行次數。如此地,藉由重複實行程序SQ1,而於遮罩MK1的表面以保形方式形成氧化矽膜之保護膜SX。
步驟ST4中,在判定為程序SQ1之實行次數尚未達到預先設定之次數的情況(步驟ST4:NO),再度重複程序SQ1之實行。另一方面,步驟ST4中,在判定為程序SQ1之實行次數達到預先設定之次數的情況(步驟ST4:YES),結束程序SQ1之實行。藉此,如圖3的(b)部所示,於晶圓W的表面上形成係氧化矽膜之保護膜SX。亦即,藉由使程序SQ1重複預先設定之次數,而將具有預先設定的膜厚之保護膜SX,無關於遮罩MK1的疏密地,以均一之膜厚並以保形方式形成在晶圓W的表面。藉由重複實行程序SQ1,而精度良好地控制設置於遮罩MK1上的保護膜SX之膜的厚度。
如同上述,程序SQ1及步驟ST4之一系列的步驟,藉由與ALD法相同之方法,於遮罩MK1的表面之矽化合物上以保形方式形成保護膜SX,故可改善對於遮罩MK1之保護的強度,並以均一的膜厚形成保護遮罩MK1之保護膜SX。
藉由程序SQ1及步驟ST4之一系列的步驟形成之保護膜SX,如圖3的(b)部所示,包含領域R1、領域R2及領域R3。領域R3,係在遮罩MK1的側面上沿著該側面延伸之領域。領域R3,從防止反射膜AL的表面起延伸至領域R1的下側為止。領域R1,在遮罩MK1的頂面上及領域R3上延伸。領域R2,在鄰接的領域R3之間,且在防止反射膜AL的表面上延伸。如同上述,程序SQ1,與ALD法同樣地形成保護膜SX,故無關於遮罩MK1的疏密,領域R1、領域R2、及領域R3之各自的膜厚,成為彼此略相等的膜厚。
接續步驟ST4的步驟ST5,以去除領域R1及領域R2之方式,蝕刻(回蝕)保護膜SX。為了去除領域R1及領域R2,需要非等向性之蝕刻條件。因此,步驟ST5,從氣體源群40之複數個氣體源中的選擇出之氣體源,往處理容器12內供給包含氟碳化物系氣體的處理氣體。接著,從第1高頻電源62供給高頻電力,從第2高頻電源64供給高頻偏壓電力,藉由使排氣裝置50動作而將處理容器12內之空間的壓力設定為預先設定的壓力。如此地,產生氟碳化物系氣體之電漿。產生之電漿中的包含氟之活性種,藉由高頻偏壓電力所進行之往鉛直方向的導入,而優先地蝕刻領域R1及領域R2。此一結果,如圖3的(c)部所示,選擇性地去除領域R1及領域R2,以留下的領域R3形成遮罩MS。遮罩MS與遮罩MK1,構成防止反射膜AL的表面上之遮罩MK2。
接續步驟ST5,實行程序SQ2~步驟ST7之一系列的步驟。程序SQ2~步驟ST7之一系列的步驟,為蝕刻防止反射膜AL之步驟。
首先,接續步驟ST5,實行程序SQ2(第2程序)一次(單位周期)以上。程序SQ2,係藉由與ALE法相同之方法,將防止反射膜AL中之未被遮罩MK2覆蓋的領域,無關遮罩MK2的疏密地以高選擇比精密地蝕刻之一系列的步驟,其包含在程序SQ2中依序實行之步驟ST6a(第5步驟)、步驟ST6b(第6步驟)、步驟ST6c(第7步驟)、及步驟ST6d(第8步驟)。
步驟ST6a,在處理容器12內產生第3氣體之電漿,於防止反射膜AL的表面之原子層形成含有此電漿所包含的自由基之混合層MX。步驟ST6a中,在將晶圓W載置於靜電吸盤ESC上的狀態下,往處理容器12內供給第3氣體,產生該第3氣體之電漿。第3氣體,係適合蝕刻含有矽的防止反射膜AL之蝕刻劑氣體,其包含氟碳化物系氣體與稀有氣體,例如可為Cx Fy /Ar氣體。Cx Fy 可為CF4 。具體而言,從氣體源群40之複數個氣體源中的選擇出之氣體源,往處理容器12內供給包含氟碳化物系氣體與稀有氣體的第3氣體。接著,從第1高頻電源62供給高頻電力,從第2高頻電源64供給高頻偏壓電力,藉由使排氣裝置50動作而將處理容器12內之空間的壓力設定為預先設定的壓力。如此地,在處理容器12內產生第3氣體之電漿。第3氣體之電漿包含碳自由基及氟自由基。
圖6為,顯示圖1所示的方法(程序SQ2)中之蝕刻原理的圖。圖6中,空心的圓(白圈)表示構成防止反射膜AL的原子,塗黑的圓(黑圈)表示自由基,以圓包圍的「+」表示後述第4氣體所包含的稀有氣體之原子的離子(例如Ar原子的離子)。如圖6的(a)部所示,藉由步驟ST6a,對防止反射膜AL的表面之原子層,供給第3氣體之電漿所包含的碳自由基及氟自由基。如此地,藉由步驟ST6a,於防止反射膜AL的表面之原子層,形成含有構成防止反射膜AL的原子、碳自由基、及氟自由基之混合層MX(亦與圖6的(a)部一同參考圖3的(c)部)。
如同上述,第3氣體包含氟碳化物系氣體,故在步驟ST6a中,對防止反射膜AL的表面之原子層供給氟自由基及碳自由基,而可於該表面之原子層形成含有該兩自由基之混合層MX。
另,在ArF光阻之遮罩MK1中,遮罩MK2所包含的遮罩MS之Si、第3氣體之電漿所包含的碳自由基,作為保護膜而作用。此外,可藉由電源70所產生的直流電壓,控制氟自由基量的調整。
接續步驟ST6a的步驟ST6b,吹掃處理容器12內之空間。具體而言,將在步驟ST6a中供給的第3氣體排氣。步驟ST6b中,作為吹掃氣體,亦可將氮氣或稀有氣體(例如Ar氣體等)等惰性氣體往處理容器12供給。亦即,步驟ST6b的吹掃,可為使惰性氣體在處理容器12內流通的氣體吹掃、或抽真空所造成的吹掃之任一。
接續步驟ST6b的步驟ST6c中,在處理容器12內產生第4氣體之電漿,對該電漿施加偏電壓以去除混合層MX。第4氣體,包含稀有氣體,例如可包含Ar氣體。具體而言,從氣體源群40之複數個氣體源中的選擇出之氣體源,往處理容器12內供給包含稀有氣體(例如Ar氣體)之第4氣體,從第1高頻電源62供給高頻電力,從第2高頻電源64供給高頻偏壓電力,藉由使排氣裝置50動作而將處理容器12內之空間的壓力設定為預先設定的壓力。如此地,在處理容器12內產生第4氣體之電漿。產生之電漿中的第4氣體之原子的離子(例如Ar原子的離子),藉由高頻偏壓電力所產生之往鉛直方向的導入,而碰撞防止反射膜AL的表面之混合層MX,對混合層MX供給能量。如圖6的(b)部所示,藉由步驟ST6c,經由第4氣體之原子的離子,對形成於防止反射膜AL的表面之混合層MX供給能量,藉由此能量而可從防止反射膜AL將混合層MX去除。
如同上述,第4氣體包含稀有氣體,故在步驟ST6c中,藉由該稀有氣體之電漿由偏電壓接收的能量,而可將形成於防止反射膜AL的表面之混合層MX,從該表面去除。
接續步驟ST6c的步驟ST6d,吹掃處理容器12內之空間。具體而言,將在步驟ST6c中供給的第4氣體排氣。步驟ST6d中,作為吹掃氣體,亦可將氮氣或稀有氣體(例如Ar氣體等)等惰性氣體往處理容器12供給。亦即,步驟ST6d的吹掃,可為使惰性氣體在處理容器12內流通的氣體吹掃、或抽真空所造成的吹掃之任一。如圖6的(c)部所示,藉由在步驟ST6c施行的吹掃,可將構成防止反射膜AL的表面之混合層MX的原子、及第4氣體的電漿所包含之過多的離子(例如Ar原子的離子)亦充分地去除。
接續程序SQ2的步驟ST7,判定是否結束程序SQ2之實行。具體而言,步驟ST7,判定程序SQ2之實行次數是否達到預先設定的次數。程序SQ2之實行次數的決定,決定對於防止反射膜AL之蝕刻的程度(深度)。可重複實行程序SQ2,蝕刻防止反射膜AL直至有機膜OL的表面為止。亦即,能夠以使藉由實行1次(單位周期)程序SQ2蝕刻的防止反射膜AL之厚度與程序SQ2之實行次數的積成為防止反射膜AL本身之總厚度的方式,決定程序SQ2之實行次數。因此,可因應防止反射膜AL之厚度,而設定程序SQ2之實行次數。
步驟ST7中,在判定為程序SQ2之實行次數尚未達到預先設定之次數的情況(步驟ST7:NO),再度重複程序SQ2之實行。另一方面,步驟ST7中,在判定為程序SQ2之實行次數達到預先設定之次數的情況(步驟ST7:YES),結束程序SQ2之實行。藉此,如圖4的(a)部所示,蝕刻防止反射膜AL,形成遮罩ALM。亦即,藉由使程序SQ2重複預先設定之次數,將防止反射膜AL,無關於遮罩MK2的疏密(遮罩MK1的疏密)而以與遮罩MK2提供之開口OP2的寬度相同且均一的寬度蝕刻,此外,亦改善選擇比。
遮罩ALM,與遮罩MK2一同提供開口OP3。遮罩ALM上之遮罩MK2(遮罩MK1),具有HG2[nm]之値的高度。開口OP3,具備與遮罩MK2提供之開口OP2的寬度(參考圖3的(c)部)相同的寬度。遮罩MK2與遮罩ALM,構成對於有機膜OL之遮罩MK3。由遮罩MK2與遮罩ALM構成的遮罩MK3提供之開口OP3的寬度之値(W3[nm]),與遮罩MK2提供之開口OP2的寬度之値相同。藉由蝕刻防止反射膜AL而形成之開口OP3的寬度,係藉由重複實行程序SQ2而精度良好地控制。
此外,藉由至步驟ST5為止之一系列的步驟,將膜厚均一且經精度良好地控制之穩定的氧化矽膜,形成於防止反射膜AL上之遮罩MK2的側面,故可減少遮罩MK2的形狀(LWR及LER)因對於防止反射膜AL之程序SQ2的蝕刻所受到之影響。如此地可減少遮罩MK2之形狀因程序SQ2的蝕刻所受到之影響,故亦可減少以蝕刻形成之開口OP3的寬度因程序SQ2的蝕刻所造成之影響,亦可減少遮罩MK2的疏密(遮罩MK1的疏密)所造成之影響。
如同上述,程序SQ2~步驟ST7之一系列的步驟,係在實行將氧化矽膜(保護膜SX之領域R3(遮罩MS))以保形方式形成於遮罩MK1的表面之步驟後(實行步驟ST5後)施行的步驟,其係利用形成有遮罩MS之遮罩MK1(遮罩MK2)重複實行程序SQ2,將防止反射膜AL逐原子層地去除,藉而精密地蝕刻防止反射膜AL之步驟。因此,程序SQ2~步驟ST7之一系列的步驟,藉由與ALE法相同之方法,而可將防止反射膜AL逐原子層地去除。
接續步驟ST7:YES的步驟ST8,蝕刻有機膜OL。步驟ST8,係在施行對於防止反射膜AL之蝕刻處理的程序SQ1~步驟ST7之實行後(步驟ST7:YES後),藉由在處理容器12內產生之電漿,利用遮罩MK3(第2遮罩)對有機膜OL施行蝕刻處理的步驟。遮罩MK3,係於蝕刻防止反射膜AL之步驟(程序SQ1~步驟ST7)中,由防止反射膜AL形成。
具體說明步驟ST8之處理。首先,從氣體源群40之複數個氣體源中的選擇出之氣體源,往處理容器12內供給包含氮氣與氫氣的處理氣體。作為該氣體,亦可使用包含氧的處理氣體。接著,從第1高頻電源62供給高頻電力,從第2高頻電源64供給高頻偏壓電力,藉由使排氣裝置50動作而將處理容器12內之空間的壓力設定為既定壓力。藉此,產生包含氮氣與氫氣的處理氣體之電漿。產生的電漿中之係氫的活性種之氫自由基,蝕刻有機膜OL之全領域中的從遮罩MK3露出之領域。藉由上述方式,如圖4的(b)部所示,蝕刻有機膜OL,從遮罩OLM形成具有開口OP4之有機膜OL,開口OP4的寬度與遮罩MK3提供之開口OP3的寬度(參考圖4的(a)部)相同。遮罩ALM與遮罩OLM,構成對於被蝕刻層EL之遮罩MK4。遮罩MK4提供之開口OP4的寬度之値,與遮罩MK3提供之開口OP3的寬度(W3[nm])之値(W4[nm])相同。藉由程序SQ2,無關於遮罩MK3的疏密(遮罩MK2的疏密)而改善遮罩MK3之開口OP3的寬度之均一性,此外,遮罩MK3的形狀(LWR及LER)亦良好,因而亦無關於遮罩MK4的疏密(遮罩MK3的疏密)而改善遮罩MK4之開口OP4的寬度之均一性,此外,遮罩MK4的形狀(LWR及LER)亦變得良好。
如同上述,藉由實行步驟ST2~ST7之一系列的步驟,而將維持形狀且改善選擇比之遮罩MK3,無關於遮罩的疏密地形成在有機膜OL上,故使此等形狀良好之遮罩MK3所進行的有機膜OL之蝕刻成為可能,可良好地施行有機膜OL之蝕刻。
以下,為了評價方法MT,茲就利用電漿處理裝置10施行之實驗予以說明。分別對具有下述構造之晶圓(密)及晶圓(疏)施行實驗。晶圓(密)及晶圓(疏),為晶圓W之實施例。晶圓(密)係以密集狀態形成遮罩,晶圓(疏)係以稀疏狀態形成遮罩。 <晶圓(密)> ・遮罩MK1的遮罩寬度之値(W1[nm])與開口OP1的寬度之値(W2[nm])的比(W1:W2):1比1(1:1) ・遮罩MK1的遮罩高度之値(HG1[nm]):40[nm] ・遮罩MK1之開口OP1的寬度之値(W2[nm]):45.0[nm] <晶圓(疏)> ・遮罩MK1的遮罩寬度之値(W1[nm])與開口OP1的寬度之値(W2[nm])的比(W1:W2):1比5(1:5) ・遮罩MK1的遮罩高度之値(HG1[nm]):40[nm] ・遮罩MK1之開口OP1的寬度之値(W2[nm]):225[nm]
分別對晶圓(密)及晶圓(疏),取代步驟ST2~ST8之一系列的處理,藉由下述條件所進行之一般的RIE(Reactive Ion Etching:反應性離子蝕刻)施行防止反射膜AL及有機膜OL的蝕刻,獲得下述結果。 <條件> (防止反射膜AL之蝕刻) ・處理容器12內的壓力之値[mTorr]:15[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、400[W] ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、100 [W] ・處理氣體:CF4 氣體 ・處理氣體之流量[sccm]:150[sccm] ・處理時間[s]:30[s] (有機膜OL之蝕刻) ・處理容器12內的壓力之値[mTorr]:20[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、1000[W] ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、200 [W] ・處理氣體:N2 /H2 氣體 ・處理氣體之流量[sccm]:(N2 氣體)200[sccm]、(H2 氣體)200[sccm] ・處理時間[s]:40[s]
分別對晶圓(密)及晶圓(疏),在步驟ST1~ST8之一系列的處理中,不施行步驟ST2~ST5之一系列的處理而僅施行步驟ST1、程序SQ2(步驟ST6a~ST6d)、步驟ST7及步驟ST8,藉而施行防止反射膜AL及有機膜OL之蝕刻,獲得下述結果。 <條件> (供給第1氣體:步驟ST6a) ・步驟ST6a中之處理容器12內的壓力之値[mTorr]:30[mTorr] ・步驟ST6a中之第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、100[W] ・步驟ST6a中之第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、0[W] ・電源70的直流電壓之値[V]:-1000[V] ・步驟ST6a中之處理氣體:CF4 /Ar氣體 ・步驟ST6a中之處理氣體的流量[sccm]:(CF4 氣體)300[sccm]、(Ar氣體)300[sccm] ・步驟ST6a中之處理時間[s]:10[s] (產生第2氣體之電漿:步驟ST6c) ・步驟ST6c中之處理容器12內的壓力之値[mTorr]:30[mTorr] ・步驟ST6c中之第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、100[W] ・步驟ST6c中之第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、30[W] ・步驟ST6c中之處理氣體:Ar氣體 ・步驟ST6c中之處理氣體的流量[sccm]:300[sccm] ・處理時間[s]:25[s] (程序SQ2結束之判定:步驟S7) ・程序SQ2之重複次數:30次 (蝕刻有機膜OL:步驟ST8) ・處理容器12內的壓力之値[mTorr]:20[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、1000[W] ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、200 [W] ・處理氣體:N2 /H2 氣體 ・處理氣體之流量[sccm]:(N2 氣體)200[sccm]、(H2 氣體)200[sccm] ・處理時間[s]:45[s]
分別對晶圓(密)及晶圓(疏),施行步驟ST1~ST8之一系列的處理,藉而施行防止反射膜AL及有機膜OL之蝕刻,獲得下述結果。 <條件> (二次電子之照射:步驟ST2) ・處理容器12內的壓力之値[mTorr]:30[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、100[W] ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、0[W] ・電源70的直流電壓之値[V]:-1000[V] ・處理氣體:H2 /Ar氣體 ・處理氣體之流量[sccm]:(H2 氣體)60[sccm]、(Ar氣體)300[sccm] ・處理時間[s]:10[s] (供給第1氣體:步驟ST3a) ・處理容器12內的壓力之値[mTorr]:500[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、0[W] ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、0[W] ・處理氣體:含有有機物的胺基矽烷系氣體 ・處理氣體之流量[sccm]:50[sccm] ・處理時間[s]:15[s] (供給第2氣體:步驟ST3c) ・處理容器12內的壓力之値[mTorr]:200[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、300[W] ・脈波頻率:10[kHz]、50% ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、0[W] ・處理氣體:CO2 氣體 ・處理氣體之流量[sccm]:300[sccm] ・處理時間[s]:5[s] (程序SQ1結束之判定:步驟S4) ・程序SQ1之重複次數:20次 (回蝕:步驟ST5) ・處理容器12內的壓力之値[mTorr]:50[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、300[W] ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、150 [W] ・電源70的直流電壓之値[V]:0[V] ・處理氣體:CF4 氣體 ・處理氣體之流量[sccm]:150[sccm] ・處理時間[s]:4[s] (產生第3氣體之電漿:步驟ST6a) ・步驟ST6a中之處理容器12內的壓力之値[mTorr]:30[mTorr] ・步驟ST6a中之第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、100[W] ・步驟ST6a中之第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、0[W] ・電源70的直流電壓之値[V]:-1000[V] ・步驟ST6a中之處理氣體:CF4 /Ar氣體 ・步驟ST6a中之處理氣體的流量[sccm]:(CF4 氣體)300[sccm]、(Ar氣體)300[sccm] ・步驟ST6a中之處理時間[s]:10[s] (產生第4氣體之電漿:步驟ST6c) ・步驟ST6c中之處理容器12內的壓力之値[mTorr]:30[mTorr] ・步驟ST6c中之第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、100[W] ・步驟ST6c中之第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、0[W] ・電源70的直流電壓之値[V]:0[V] ・步驟ST6c中之處理氣體:Ar氣體 ・步驟ST6c中之處理氣體的流量[sccm]:300[sccm] ・處理時間[s]:25[s] (程序SQ2結束之判定:步驟S7) ・程序SQ2之重複次數:30次 (有機膜OL之蝕刻:步驟ST8) ・處理容器12內的壓力之値[mTorr]:20[mTorr] ・第1高頻電源62的頻率之値[MHz]及高頻電力之値[W]:60[MHz]、1000[W] ・第2高頻電源64的頻率之値[MHz]及偏壓電力之値[W]:13.56[MHz]、 200[W] ・處理氣體:N2 /H2 氣體 ・處理氣體之流量[sccm]:(N2 氣體)200[sccm]、(H2 氣體)200[sccm] ・處理時間[s]:45[s]
以上,雖在最佳實施形態中圖示說明本發明之原理,但所屬技術領域中具有通常知識者,知曉可在不脫離此等原理的情況下變更本發明之配置及細節。本發明,並未限定於本實施形態所揭露之特定的構造。因此,發明人請求源自發明申請專利範圍及其精神範圍之全部修正及變更的權利。
10‧‧‧電漿處理裝置
12‧‧‧處理容器
12e‧‧‧排氣口
12g‧‧‧搬出入口
14‧‧‧支持部
18a‧‧‧第1板
18b‧‧‧第2板
22‧‧‧直流電源
23‧‧‧開關
24‧‧‧冷媒流路
26a、26b‧‧‧配管
28‧‧‧氣體供給線
30‧‧‧上部電極
32‧‧‧絕緣性遮蔽構件
34‧‧‧電極板
34a‧‧‧氣體噴吐孔
36‧‧‧電極支持體
36a‧‧‧氣體擴散室
36b‧‧‧氣體流通孔
36c‧‧‧氣體導入口
38‧‧‧氣體供給管
40‧‧‧氣體源群
42‧‧‧閥群
44‧‧‧流量控制器群
46‧‧‧防沉積遮蔽件
48‧‧‧排氣板
50‧‧‧排氣裝置
52‧‧‧排氣管
54‧‧‧閘閥
62‧‧‧第1高頻電源
64‧‧‧第2高頻電源
66、68‧‧‧匹配器
70‧‧‧電源
AL‧‧‧防止反射膜
ALM‧‧‧遮罩
Cnt‧‧‧控制部
EL‧‧‧被蝕刻層
ESC‧‧‧靜電吸盤
FR‧‧‧對焦環
G1‧‧‧第1氣體
HP‧‧‧加熱器電源
HT‧‧‧加熱器
LE‧‧‧下部電極
Ly1、Ly2‧‧‧層
MK1~MK4‧‧‧遮罩
MS‧‧‧遮罩
MT‧‧‧方法
MX‧‧‧混合層
OL‧‧‧有機膜
OLM‧‧‧遮罩
OP1~OP4‧‧‧開口
P1‧‧‧電漿
PD‧‧‧載置台
R1~R3‧‧‧領域
S‧‧‧處理空間
SB‧‧‧基板
SQ1、SQ2‧‧‧程序
ST1~ST2‧‧‧步驟
ST3a~ST3d‧‧‧步驟
ST4~ST5‧‧‧步驟
ST6a~ST6d‧‧‧步驟
ST7~ST8‧‧‧步驟
SX‧‧‧保護膜
W‧‧‧晶圓
圖1係顯示一實施形態之方法的流程圖。 圖2係顯示電漿處理裝置之一例的圖。 圖3具備(a)部、(b)部、(c)部,係顯示圖1所示的各步驟之實施前及實施後的被處理體之狀態的剖面圖。 圖4具備(a)部、(b)部,係顯示圖1所示的各步驟之實施後的被處理體之狀態的剖面圖。 圖5(a)、(b)、(c)係示意圖1所示的形成保護膜之程序中的保護膜之形成樣子的圖。 圖6(a)、(b)、(c)係顯示圖1所示的方法中之蝕刻原理的圖。
MT‧‧‧方法
SQ1、SQ2‧‧‧程序
ST1~ST2‧‧‧步驟
ST3a~ST3d‧‧‧步驟
ST4~ST5‧‧‧步驟
ST6a~ST6d‧‧‧步驟
ST7~ST8‧‧‧步驟

Claims (12)

  1. 一種被處理體之處理方法,該被處理體,具備被蝕刻層、設置於該被蝕刻層上之有機膜、設置於該有機膜上之防止反射膜、及設置於該防止反射膜上之第1遮罩; 該被處理體之處理方法,包含以下步驟: 保護膜保形形成步驟,在收納有該被處理體之電漿處理裝置的處理容器內,於該第1遮罩的表面以保形方式形成保護膜;以及 防止反射膜蝕刻步驟,於實行該保護膜保形形成步驟後,利用形成有該保護膜的該第1遮罩,藉由在該處理容器內產生的電漿將該防止反射膜逐原子層地去除,而蝕刻該防止反射膜。
  2. 如申請專利範圍第1項之被處理體之處理方法,其中, 更包含以下步驟: 第1遮罩照射二次電子步驟,於實行該保護膜保形形成步驟前,在該處理容器內產生電漿,對設置於該處理容器的平行平板電極之上部電極施加負的直流電壓,藉以對該第1遮罩照射二次電子。
  3. 如申請專利範圍第2項之被處理體之處理方法,其中, 該上部電極的電極板,含有矽; 該第1遮罩照射二次電子步驟,係在該處理容器內產生電漿,對該上部電極施加負的直流電壓,藉以從該電極板釋出矽,以包含該矽的氧化矽化合物覆蓋該第1遮罩。
  4. 如申請專利範圍第1至3項中任一項之被處理體之處理方法,其中, 該保護膜保形形成步驟,重複實行包含以下步驟之第1程序,而於該第1遮罩的該表面以保形方式形成該保護膜: 第1步驟,往該處理容器內供給第1氣體; 第2步驟,於實行該第1步驟後,吹掃該處理容器內之空間; 第3步驟,於實行該第2步驟後,在該處理容器內產生第2氣體之電漿;以及 第4步驟,於實行該第3步驟後,吹掃該處理容器內之空間; 該第1步驟,並未產生該第1氣體之電漿。
  5. 如申請專利範圍第4項之被處理體之處理方法,其中, 該第1氣體,包含胺基矽烷系氣體。
  6. 如申請專利範圍第5項之被處理體之處理方法,其中, 該第1氣體之胺基矽烷系氣體,包含具有1~3個矽原子的胺基矽烷。
  7. 如申請專利範圍第5項之被處理體之處理方法,其中, 該第1氣體之胺基矽烷系氣體,包含具有1~3個胺基的胺基矽烷。
  8. 如申請專利範圍第4項之被處理體之處理方法,其中, 該第2氣體,包含含有氧原子及碳原子的氣體。
  9. 如申請專利範圍第1至3項中任一項之被處理體之處理方法,其中, 該防止反射膜蝕刻步驟,重複實行包含以下步驟之第2程序,將該防止反射膜逐原子層地去除,而蝕刻該防止反射膜: 第5步驟,於實行該保護膜保形形成步驟後,在該處理容器內產生第3氣體之電漿,於該防止反射膜的表面之原子層形成含有該電漿所包含的自由基之混合層; 第6步驟,於實行該第5步驟後,吹掃該處理容器內之空間; 第7步驟,於實行該第6步驟後,在該處理容器內產生第4氣體之電漿,對該電漿施加偏電壓,以去除該混合層; 第8步驟,於實行該第7步驟後,吹掃該處理容器內之空間。
  10. 如申請專利範圍第9項之被處理體之處理方法,其中, 該第3氣體,包含氟碳化物系氣體與稀有氣體。
  11. 如申請專利範圍第9項之被處理體之處理方法,其中, 該第4氣體,包含稀有氣體。
  12. 如申請專利範圍第1至3項中任一項之被處理體之處理方法,其中, 更包含有機膜蝕刻步驟:於實行該防止反射膜蝕刻步驟後,藉由在該處理容器內產生之電漿,利用第2遮罩對該有機膜施行蝕刻處理; 該第2遮罩,係於該防止反射膜蝕刻步驟中,由該第1遮罩與該防止反射膜形成。
TW106109250A 2016-03-29 2017-03-21 被處理體之處理方法 TWI707382B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2016065806 2016-03-29
JP2016-065806 2016-03-29
JP2016147477A JP6784530B2 (ja) 2016-03-29 2016-07-27 被処理体を処理する方法
JP2016-147477 2016-07-27

Publications (2)

Publication Number Publication Date
TW201807742A true TW201807742A (zh) 2018-03-01
TWI707382B TWI707382B (zh) 2020-10-11

Family

ID=60008666

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106109250A TWI707382B (zh) 2016-03-29 2017-03-21 被處理體之處理方法

Country Status (4)

Country Link
US (1) US10714340B2 (zh)
JP (2) JP6784530B2 (zh)
CN (1) CN108885991B (zh)
TW (1) TWI707382B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP7178918B2 (ja) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム
CN111627809B (zh) * 2019-02-28 2024-03-22 东京毅力科创株式会社 基片处理方法和基片处理装置
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
JPWO2004003988A1 (ja) * 2002-06-27 2006-10-26 東京エレクトロン株式会社 プラズマ処理方法
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP2004228231A (ja) * 2003-01-21 2004-08-12 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
MY148830A (en) * 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
JP5528244B2 (ja) * 2010-07-26 2014-06-25 東京エレクトロン株式会社 プラズマ処理方法および記憶媒体
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
JP6063264B2 (ja) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
JP5519059B2 (ja) * 2013-05-23 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
SG11201600129XA (en) * 2013-08-09 2016-02-26 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP6240489B2 (ja) * 2013-12-06 2017-11-29 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
JP6230898B2 (ja) * 2013-12-13 2017-11-15 東京エレクトロン株式会社 エッチング方法
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法

Also Published As

Publication number Publication date
JP2017183689A (ja) 2017-10-05
US20190108997A1 (en) 2019-04-11
TWI707382B (zh) 2020-10-11
CN108885991A (zh) 2018-11-23
US10714340B2 (en) 2020-07-14
JP2021007186A (ja) 2021-01-21
JP7061653B2 (ja) 2022-04-28
JP6784530B2 (ja) 2020-11-11
CN108885991B (zh) 2023-06-30

Similar Documents

Publication Publication Date Title
TWI766857B (zh) 被處理體之處理方法
US11658036B2 (en) Apparatus for processing substrate
TWI661464B (zh) 被處理體之處理方法
US9911622B2 (en) Method of processing target object
US9911607B2 (en) Method of processing target object
KR102362462B1 (ko) 피처리체를 처리하는 방법
JP7061653B2 (ja) 被処理体を処理する方法
CN107026081B (zh) 对被处理体进行处理的方法
US11823903B2 (en) Method for processing workpiece
TWI737785B (zh) 被處理體之處理方法
KR102531901B1 (ko) 피처리체를 처리하는 방법