TW201608053A - 背面沉積設備及方法 - Google Patents

背面沉積設備及方法 Download PDF

Info

Publication number
TW201608053A
TW201608053A TW104115987A TW104115987A TW201608053A TW 201608053 A TW201608053 A TW 201608053A TW 104115987 A TW104115987 A TW 104115987A TW 104115987 A TW104115987 A TW 104115987A TW 201608053 A TW201608053 A TW 201608053A
Authority
TW
Taiwan
Prior art keywords
substrate
film
depositing
deposition
wafer
Prior art date
Application number
TW104115987A
Other languages
English (en)
Other versions
TWI656234B (zh
Inventor
金允聖
凱旭克 查托帕迪亞
葛瑞格里 薩克士頓
洪連基
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201608053A publication Critical patent/TW201608053A/zh
Application granted granted Critical
Publication of TWI656234B publication Critical patent/TWI656234B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)

Abstract

本文中所揭露之實施例係關於用以在基板的正面或背面其中任一者上沉積應力補償層及犧牲層的方法及設備。在各樣的實行例中,背面沉積於晶圓處於正常的正面向上之定向時發生。可執行正面/背面沉積以減少晶圓正面上之沉積所導入的應力。亦可執行背面沉積以使與沉積後處理(例如微影)期間內所發生之背面微粒相關之問題最小化。

Description

背面沉積設備及方法
半導體元件製造通常涉及在下方的晶圓基板上沉積複數層之堆疊。一般而言,大部分用以形成元件的沉積及其他處理發生在晶圓的正面。隨著沉積的層增加,它們可將應力導入晶圓中。此應力可導致晶圓翹曲,而這為吾人不想要的。當翹曲顯著時,其可對後續處理步驟產生有害地影響。
在半導體元件製造期間內浮現的另一問題為沉積後處理期間內晶圓背面上產生微粒。這些微粒可引起晶圓中的局部應力效應,而這在微影操作期間內是特別有問題的。
因此,吾人想要用以克服與晶圓應力及晶圓背面出現微粒相關之問題的改良方法及設備。
本文中的某些實施例係關於用以在基板背面上沉積膜的方法及設備。這樣的背面沉積可發生而不會接觸基板正面的有效區域,從而避免了對基板正面的傷害。背面沉積在對抗因晶圓正面上之材料沉積而產生之應力的情況中可為有用的。此外,背面沉積對於在基板背面上形成犧牲層可為有用的,而形成犧牲層對於減少背面微粒之效果係有利的。不然這樣的背面微粒可在敏感的處理(例如微影操作)中導致問題。
在本文中之實施例的一態樣中,提供了用以在基板的背面上沉積膜的方法,該方法包括將包括一正面及一背面的一基板提供至一沉積反應器,該基板的該正面包括一有效區域,該有效區域包括正面沉積的材料;將該基板固定在該沉積反應器中,使得該基板之該正面的該有效區域不接觸該沉積反應器的任何部分;及在該基板的該背面上沉積該膜而沒有在該基板的該正面上沉積膜。
在一些實施例中,該將該基板固定在該沉積反應器之步驟包括將該基板裝載至該沉積反應器中的一支撐結構中或上,其中該基板係裝載為使得該基板之該正面的該有效區域暴露於一正面間隙、且該基板之該背面係實質上暴露於一沉積區域。該方法亦可包括使惰性氣體流動通過該正面間隙。這樣的惰性氣體有助於使沉積化學作用保持在正面間隙外面,從而避免晶圓正面上的沉積。在各樣的實例中,沉積在該基板之該背面上的該膜為一介電膜。可沉積之介電膜的範例包括(但不限於)矽氧化物及矽氮化物。
在某些實例中,正面沉積的材料可導致基板翹曲。該在該基板的該背面上沉積該膜之步驟可包括將該膜沉積至足以使該基板之翹曲減少至約150µm或更小的翹曲高度之厚度。在這些或其它實例中,該在該基板的該背面上沉積該膜之步驟可包括將該膜沉積至足以使該基板中之應力強度減少至約150 MPa或更小之厚度。例如,沉積在該基板的該背面上的該膜之厚度可小於約2 µm、且此厚度可小於正面沉積的材料之厚度。
在某些實施例中,沉積在該基板的該背面上的該膜為一犧牲層。該犧牲層可於之後的處理步驟中被移除。在一實施例中,該方法更包括於該在該基板的該背面上沉積該膜之步驟之後,透過選自由蝕刻、沉積、離子植入、電漿清潔、及濕式清潔所組成之群組的一或更多處理對該基板的該正面進行進一步處理,其中該對該基板的該正面進行進一步處理之步驟導致微粒形成於該膜上;及移除該膜,其中該移除該膜之步驟亦移除了該膜上之微粒。該方法可更包括於該膜被移除之後在該基板上執行微影。
在另一實施例中,該方法更包括於該在該基板的該背面上沉積該膜之步驟之前,透過選自由蝕刻、沉積、離子植入、電漿清潔、及濕式清潔所組成之群組的一或更多處理對該基板的該正面進行處理,其中該對該基板的該正面進行處理之步驟導致微粒形成於該基板的該背面上;其中該在該基板的該背面上沉積該膜之步驟使得在該基板的該背面上的微粒被該膜所覆蓋;於該膜存在於該基板的該背面上時執行微影;及於該執行微影的步驟之後將該膜從該基板的該背面移除。
在所揭露之實施例的另一態樣中,提供了用以在基板的背面上沉積膜的設備,該設備包括:一反應腔室;該反應腔室中的一基板支撐機構,用以在該基板之周緣或周緣附近支撐該基板,使得該基板的一正面上的一有效區域不接觸 該反應腔室的任何部分,並使得該基板的一背面實質上暴露出來;一沉積區域,界定於該基板存在時該基板的該背面之一側上;一正面間隙,界定於該基板存在時該基板的該正面之一側上;一頂面入口,用以提供氣體至該正面間隙;及一沉積區域入口,用以提供氣體至該沉積區域。
該設備可更包括一控制器,該控制器具有用以在該基板的該背面上沉積一膜而沒有在該基板的該正面上沉積膜之指令。例如,該控制器可具有用以使一或更多反應氣體流動通過該沉積區域入口、及使惰性氣體流動通過該頂面入口之指令。該控制器亦可具有用以藉由使該基板支撐機構及/或在該基板支撐機構上方之表面移動而控制該正面間隙之高度的指令。在這些或其它實例中,該反應腔室的一或更多零件為可動式的,使得該正面間隙之高度、及/或該沉積區域之高度可以改變。
在一些實例中,該基板支撐機構可包括一支撐環。在其他實例中,可使用複數樁釘做為該基板支撐機構。
在所揭露之實施例的再另一態樣中,提供了一多工具半導體處理設備,該多工具半導體處理設備包括:一正面模組,用以在一基板的一正面上沉積材料;一背面模組,用以在該基板的一背面上沉積材料而沒有實質上接觸該基板的該正面上的一有效區域,其中該背面模組係用以輸送氣相反應物;及一控制器,具有用以將材料沉積在該正面模組中的該基板的該正面上、將該基板傳遞至該背面模組、及將材料沉積在該基板的該背面上而沒有同時於該基板的該正面上沉積膜之指令。該多工具設備可更包括用以蝕刻該基板的一蝕刻模組。
以下將配合隨附圖式來描述本發明的這些及其他特徵。
在此申請案中,術語「半導體晶圓」、「晶圓」、 「基板」、 「晶圓基板」、及「積體電路之半成品」為可替換使用的。一般熟悉本技藝者會了解,術語「積體電路之半成品」可意指矽晶圓,且該矽晶圓可處於在其上之積體電路製造的許多階段其中任何一者的期間內。半導體元件工業中所使用的晶圓或基板一般具有200 mm或300 mm之直徑,不過業界正在走向採用450 mm直徑之基板。本文中之描述中使用術語「正面」及「背面」來描述晶圓基板的不同面。吾人應了解,正面為大部分沉積及處理發生的地方、及對半導體元件本身進行製造的地方。背面為晶圓的相反面,其於製造期間內一般僅受到最少的處理或沒有受到處理。
除非另行說明,否則本文中所提供的流率及功率位準係適用於300 mm基板上之處理。所屬技術領域中具有通常知識者會瞭解,這些流量及功率位準可依其它尺寸基板之需要而調整。以下實施方式假設本發明係在晶圓上實行。然而,本發明並不因此而受限。工作件可為各樣的形狀、尺寸、及材料。在半導體晶圓之外,其它可利用本發明的工作件包括了各樣的製品,例如印刷電路板及類似製品。
在以下描述中,提出許多的特定細節以對所提出之實施例提供周密的了解。提出之實施例可被實行而無須部分或全部的特定細節。在其他實例中,為了不對所揭露的實施例造成不必要地混淆,眾所周知的處理操作則沒有被詳述。雖然將配合特定的實施例來描述所揭露之實施例,但應理解吾人並非意圖對所揭露之實施例進行限制。方法
如上面所述,在晶圓基板的正面上之材料沉積可導致晶圓中的應力及翹曲問題。這些問題尤其可能於沉積了大堆疊材料時發生(例如在3D-NAND元件的情況中)。晶圓翹曲可導致後續處理步驟中的困難。例如,若翹曲過大,則晶圓可能無法正確地被卡盤固定。此外,某些處理步驟(例如微影)係非常精確的,若晶圓在處理時不是實質上平坦的,則會產生不良的結果。
用以克服應力及翹曲問題的一技術係在晶圓的背面上沉積膜。該背面膜抵消了正面沉積所導致的應力以產生中性應力(或實質上中性應力,例如小於約+/- 150MPa)晶圓,該中性應力晶圓沒有顯示翹曲(或實質上沒有翹曲,例如小於約150 µm的翹曲)。若沉積在正面上的膜為拉伸性的(tensile),則背面膜亦應為拉伸性的以平衡總應力。同樣地,若正面膜為壓縮性的(compressive),則背面膜亦應為壓縮性的。可藉由各樣的反應機制(例如,化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、低壓化學氣相沉積(LPCVD)、等)來沉積背面膜。在許多的實例中,使用電漿增強化學氣相沉積(由於此類型之反應中所達成的高沉積速率)。
可對某些沉積參數進行調整以產生具有所想要之應力位準的背面膜。這些沉積參數其中一者為所沉積之背面膜的厚度。較厚的膜於晶圓中誘發更多的應力,而較薄的膜(由相同的成分所組成且在相同條件下沉積)在晶圓中誘發較小的應力。因此,為了將形成背面層所消耗的材料量最小化,可在促成高應力膜形成的條件下將此層沉積得相對較薄。
可對其進行調整以影響由背面(或正面)膜所誘發之應力的其他參數包括了產生電漿的功率(例如RF功率)、電漿的RF頻率、電漿的暴露時間、基板及反應腔室的溫度、反應腔室內的壓力、惰性氣體的流動、反應物的成分、等。此段落係關於在不同沉積條件下於矽氮化物膜中所見之變化。隨著用以產生電漿之RF功率的高頻(HF,例如約13.5 MHz)分量增加,膜的拉伸應力應變(tensile stress response)增加、且壓縮應力應變實質上不變。範例HF RF頻率可介於約13.56-60 MHz之間。範例HF RF功率可介於約每站0-2500瓦之間。隨著用以產生電漿的RF功率之低頻(LF,例如約356kHz)分量的增加,膜的拉伸應力應變減少、且膜的壓縮應力應變增加。範例LF RF頻率可介於約200 kHz至4MHz之間。範例LF功率可介於約每站0-2500瓦之間。在各樣的實例中,LF + HF功率一起可介於約每站0-2500瓦之間。隨著電漿暴露時間及/或負載比增加,應力應變可依所使用的頻率及所涉及之膜類型而如上面所述般改變。範例RF暴露時間取決於發生的沉積類型。例如,電漿增強化學氣相沉積係關於以相對較長的時間期間暴露於電漿,而電漿增強原子層沉積係關於以短得多的時間期間反覆暴露於電漿。隨著沉積期間內基板的溫度上升,膜的拉伸及壓縮應力應變增加。範例性基板及腔室溫度亦取決於沉積處理,但可在約20-400°C之間。隨著反應腔室中的壓力於沉積處理期間內增加,膜的拉伸應力應變增加、且膜的壓縮應力應變減少。範例性腔室壓力在約1-4托之間。隨著沉積處理間內輸送至反應腔室中的惰性氣體流量增加,拉伸應力應變沒有顯示變化、且壓縮應力應變增加。惰性氣體的範例流率可在約100-5000 sccm之間。另一可能影響膜應力的參數為電極間距。由於電極間距會影響晶圓上的電場,而晶圓上的電場可影響膜上的密度,因此電極間距為重要的。隨著電極間距增加,在拉伸應力應變上沒有反應、且壓縮應力應變減少。範例性電極間距可介於約5-30 mm。以下將進一步討論與背面沉積有關的其它反應參數。
另一可影響膜中之應力程度的變數為膜中的氫含量,可藉由NH3 或其他含氫反應物之流量來控制膜中的氫含量。上述變數其中一者或更多者亦可直接或間接地影響膜的氫含量。具有較低氫含量的膜顯露出更中性的應力位準。用以修改正面沉積所誘發之應力的一技術為形成具有較低氫含量的膜(在晶圓正面)。然而,膜的氫含量亦對膜的介電常數具有顯著地影響。因此,在維持想要的介電常數的同時,可對氫含量進行修改的窗口很窄。背面沉積克服了與可用以沉積正面材料的處理窗口很小有關的問題  。
如前面所述,沉積材料的堆疊尤其可能導致晶圓應力及翹曲。可能導致這些問題的一範例性堆疊為具有交替的氧化物與氮化物層之堆疊(例如,矽氧化物/矽氮化物/矽氧化物/矽氮化物、等)。另一可能導致翹曲的範例性堆疊包括交替的氧化物與多晶矽層(例如,矽氧化物/多晶矽/矽氧化物/多晶矽、等)。其他可能有問題的堆疊材料之範例包括但不限於鎢及鈦氮化物。可透過像電漿增強化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)這樣的化學氣相沉積技術、或透過直接金屬沉積(DMD)等來沉積堆疊中的材料。這些範例並非意圖為限制性的。只要晶圓應力及/或翹曲係因出現於晶圓正面的材料所誘發,則某些所揭露的實施例就可為有用的。
正面的堆疊可沉積至任何層數及厚度。在典型的範例中,堆疊包括了在約32-72之間的層、且具有在約2-4µm之間的總厚度。堆疊於晶圓中所誘發之應力可在約-500MPa至約+500MPa之間,這導致了經常在約200-400 µm(對於300mm晶圓而言)之間的翹曲,在某些實例中甚至更大。
在各樣的實施例中,沉積在晶圓背面上的材料可為介電材料。在一些實例中,使用氧化物及/或氮化物(例如,矽氧化物/矽氮化物)。可使用的含矽反應物之範例包括但不限於矽烷、鹵代矽烷、及氨基矽烷。 矽烷含有氫及/或碳族元素,但不含有鹵素。矽烷之範例為矽烷(SiH4 )、二矽烷(Si2 H6 )、及有機矽烷例如甲基矽烷、乙基矽烷、異丙基矽烷、三級丁基矽烷、二甲基矽烷、二乙基矽烷、二三級丁基矽烷(di-t-butylsilane)、烯丙基矽烷、仲丁基矽烷(sec-butylsilane)、叔己基矽烷(thexylsilane)、異戊基矽烷、三級丁基二矽烷、二三級丁基二矽烷(di-t-butyldisilane)、及類似物質。鹵代矽烷含有至少一鹵素族元素,且可含或可不含氫及/或碳族元素。鹵代矽烷之範例為碘代矽烷、溴代矽烷、氯代矽烷、及氟代矽烷。雖然鹵代矽烷,尤其是氟代矽烷,可能形成可蝕刻矽材料的反應性鹵化物物種,但在本文中所述的某些實施例中,於激發電漿時沒有含矽反應物存在。具體的氯代矽烷為四氯矽烷(SiCl4 )、三氯矽烷(HSiCl3 )、二氯矽烷(H2 SiCl2 )、單氯矽烷(ClSiH3 )、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、三級丁基氯矽烷、二三級丁基氯矽烷、氯異丙基矽烷、氯仲丁基矽烷、三級丁基二甲基氯矽烷、叔己基二甲基氯矽烷、及類似物質。氨基矽烷包括結合至矽原子的至少一氮原子,但亦可含有氫、氧、鹵素、及碳。氨基矽烷之範例為單、二、三、及四氨基矽烷(分別為H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 及Si(NH2 )4 ),以及經取代單、二、三、及四氨基矽烷,舉例而言,三級丁基氨基矽烷、甲基氨基矽烷、三級丁基矽烷胺、雙(叔丁基氨基)矽烷 (SiH2 (NHC(CH3 )3 )2 (BTBAS)、叔丁基甲矽烷基氨基甲酸酯、SiH(CH3 )-(N(CH3)2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 、及類似物質。 氨基矽烷的另一範例為三甲矽烷基胺(N(SiH3 ))。其他潛在的含矽反應物包括四乙基正矽酸鹽 (TEOS)、及環狀及非環狀的TEOS變體如四甲氧基矽烷(TMOS)、氟代三乙氧基矽烷(FTES)、三甲基矽烷(TMS)、八甲基四環矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTSO)、二甲基二甲氧基矽烷(DMDS)、六甲基二矽氮烷(HMDS)、六甲基二矽氧烷(HMDSO)、六甲基環三矽氧烷(HMCTSO)、二甲基二乙氧基矽烷(DMDEOS)、甲基三甲氧基矽烷(MTMOS)、四甲基二矽氧烷(TMDSO)、二乙烯四甲基二矽氧烷(VSI2)、甲基三乙氧基矽烷(MTEOS)、二甲基四甲氧基二矽氧烷(DMTMODSO)、乙基三乙氧基矽烷(ETEOS)、乙基三甲氧基矽烷(ETMOS)、六甲氧基二矽烷 (HMODS)、雙(三乙氧矽烷基)乙烷(BTEOSE)、雙(三甲氧矽烷基)乙烷(BTMOSE)、二甲基乙氧基矽烷(DMEOS)、四乙氧基二甲基雙矽氧烷 (TEODMDSO)、肆(三甲矽烷氧基)矽烷(TTMSOS)、四甲基二乙氧基二矽氧烷(TMDEODSO)、三乙氧基矽烷(TIEOS)、三甲氧基矽烷(TIMEOS)、或四丙氧基矽烷(TPOS)。
範例含氮反應物包括但不限於氨、聯氨、胺(例如含碳的胺(amines bearing carbon))如甲基胺、二甲基胺、乙基胺、 異丙胺、三級丁胺、二三級丁胺、環丙胺、仲丁胺、環丁胺、異戊胺、2-甲基丁-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二-三級-丁基聯氨、以及含胺之芳香族如苯胺、吡啶、及芐胺。胺可為一級、二級、三級、或四級(例如,四烷基銨化合物)。含氮反應物可包含氮以外的雜原子,舉例而言,羥胺、三級丁氧羰基胺及N-三級-丁基羥胺為含氮的反應物。
含氧的共反應物之範例包括氧、臭氧、一氧化二氮、一氧化碳、一氧化氮、二氧化氮、硫氧化物、二氧化硫、含氧的烴(Cx Hy Oz )、水,其混合物、等。
這些反應物的流率很大程度取決於對背面層進行沉積之反應的類型。當使用CVD/ PECVD來沉積背面層,含矽反應物的流率可在約0.5-10毫升/分鐘之間(在霧化之前),例如在約0.5-5毫升/分鐘之間。含氮的反應物、含氧的反應物、或其他共反應物的流率可在約3-25 SLM之間,例如在約3-10 SLM之間。
在某些實行例中,可在進一步的處理之後移除背面層。在此實例中,應對背面層的成分進行選擇以使吾人可以在適當的時候輕易地將其從基板移除。就這一點來說,在想要的移除化學品中,背面層的材料(例如,介電質)與下面基板的材料(例如,矽)之間應具有高選擇性。
背面層的最佳厚度會取決於在晶圓正面上的沉積所誘發之應力的量、以及對背面層進行沉積的條件。可將背面層沉積至一厚度,在該厚度下晶圓中的應力變得可忽略(例如,小於約150MPa)。在這些或其它實施例中,可將背面層沉積至一厚度,在該厚度下晶圓翹曲變得可忽略(例如,小於約150µm的翹曲)。在某些實例中,這對應至在約0.1-2 µm之間的背面層厚度,例如在約0.3-2 µm之間、或在約0.1-1 µm之間、或在約0.3-1 µm之間。當使用矽氮化物來以形成背面層,具有約0.3 µm之厚度的膜為足以減輕約50-200 µm的翹曲。如上面所述,可使用較高應力的背面層以減少層的所需厚度。這有助於節省材料及降低成本。
在某些實例中,可藉由將晶圓從沉積工具取出、將其翻面、把其上下顛倒放回沉積工具、及於晶圓處於上下顛倒姿勢的同時在晶圓背面上進行沉積而完成背面沉積。在背面沉積期間內需要額外的處理步驟以保護晶圓的正面。例如,在將晶圓取出並翻面之前,可在晶圓正面上沉積一或更多保護層。這些保護層屏蔽了下方重要的元件層/結構,俾使對寶貴的晶圓正面之傷害最小化。若沒有保護層的沉積,則對晶圓正面的實質傷害可能於晶圓以上下顛倒的定向被搬運、傳遞、卡盤固定、及從卡盤取出、等時發生。舉例而言,未受保護的晶圓正面可能刮傷、凹陷、或於其與卡盤接觸時受到其他傷害。雖然保護層有利於在背面沉積期間內屏蔽晶圓,但形成保護層涉及了額外的處理步驟及材料,而該等額外的處理步驟及材料在直接背面沉積是可得的實例中係能夠避免的。
因此,在某些其他實例中,背面沉積係在特別設計的設備中實行,該設備係設計用以於晶圓處於其正常面朝上的定向(換言之,晶圓的正面朝上)時在晶圓背面上進行沉積。此方式消除了於背面沉積發生之前在晶圓正面上形成保護層之需要。由於背面沉積可發生而無須任何搬運或以其他方式接觸晶圓的正面,因此不再需要保護層。在一些實施例中,一沉積設備可用以在晶圓的正面及背面上進行沉積而無須將晶圓翻面(換言之,沉積設備可執行正面沉積及背面沉積而無須改變晶圓的定向)。在此情況下,反應腔室的頂部及底部可包括了該設備的各樣元件(例如,噴淋頭或其他入口、出口、用以在晶圓的當下非鍍面與板之間提供一薄間隙的板或其它元件、電氣連接、等)。
圖1A提供了在晶圓的背面上沉積膜之方法的流程圖。方法100開始於步驟101,其中將晶圓裝載至背面沉積腔室中。以下的圖4A及4B進一步描述適當的背面沉積腔室。晶圓係以在正常面朝上定向而裝載,晶圓的正面向上朝向腔室的頂部。在步驟103(其可與步驟101同時發生),晶圓係由設置在晶圓周緣的支撐環所支撐/固定。該環係設置來將晶圓支撐在沉積會發生的間隙上方。此間隙有時稱為沉積區域且包括了在晶圓的背面下方、且在設置於腔室底部的任何硬體(例如,可做為下電極的噴淋頭或其他入口)上方的區域。對該環的形狀進行設計以使晶圓的背面實質上暴露於沉積區域。在一些實施例中,該環及設置在晶圓上方的頂部電極可為可移動的以使沉積區域的高度為可變的。在各樣的實例中,頂部電極可為接地板,且可以或可以不對其進行加熱。此可移動性可幫助提供處理靈活性。
在步驟105,使一或更多氣相反應物流入反應腔室中,使得晶圓的背面暴露於反應物。用以導入反應物的一或更多入口可設置在支撐環的下方,而反應物直接進入沉積區域中。在一些實例中,使用位於腔室/沉積區域的底部之噴淋頭來輸送反應物。在步驟107(其可與步驟105同時發生),使惰性氣體流過晶圓的正面。一般而言,氣體係輸送至直接設置在晶圓正面上方的相對較小間隙(亦稱為正面間隙)。正面間隙應為相對較小/矮的,例如具有小於電漿鞘厚度的高度。正面間隙一般與晶圓的面約為同廣衍的(coextensive)。由於電漿無法穿透小的正面間隙,使用矮的正面間隙有助於確保於背面上的沉積期間內保護晶圓的正面。輸送至正面間隙的惰性氣體更有助於保護晶圓的正面。在某些實例中,惰性氣體係在晶圓的中央附近輸送至正面間隙。從這裡,惰性氣體向外流過晶圓的正面。此流動模式有助於將任何會導致沉積的反應物從正面間隙掃除,而這進一步保護了正面免於沉積或其他傷害。輸送至晶圓正面的惰性氣體之流率可在約100-5000 sccm之間。在各樣的實例中,界定了正面間隙的頂部表面(例如,加熱器、接地板、腔室頂板、噴淋頭、或其它表面)為可移動的,使得正面間隙的高度為可調整的。這可提供更多的靈活性並使得裝載及卸載晶圓的進出更為容易、且亦可藉由使得腔室的幾何形狀得以在處理之間或於處理期間內修改而幫助提供處理靈活性。
方法100繼續至步驟109,其中產生電漿以驅動導致材料沉積在晶圓的背面上之反應。在某些實施例中,基板可於沉積期間內DC接地。在許多實例中,可在上與下電極之間產生電漿以產生電容耦合電漿。步驟109可與步驟105及107同時進行,舉例而言其中沉積透過PECVD反應而發生。在其他實例中(例如,當沉積透過ALD處理而發生),反應物輸送及電漿產生可以循環方式發生、且可以或可以不重疊。以下進一步討論反應物輸送及時序,但所屬技術領域中具有通常知識者會理解可使用任何適當類型之沉積方案(反應類型、反應物時序、電漿時序、掃除/吹淨(purges)之使用、等)。反應物輸送步驟105、惰性氣體輸送步驟107、及電漿暴露步驟109持續(或重複)直到背面膜沉積至想要的厚度。
化學氣相沉積(包括了電漿增強化學氣相沉積)是在基板背面上沉積矽氧化物膜或其它膜所經常選擇的方法。在CVD的反應中,一或更多氣相反應物被輸送至反應腔室並經歷一氣相反應,該氣相反應在反應腔室中的基板表面上沉積一產物。當使用PECVD時,氣相反應係藉由暴露於電漿而驅動。由於PECVD反應達成了快速的沉積率、並使得吾人得以依所需而調整背面層的應力,因此它們對於背面沉積係特別有用的。由於PECVD反應相較於其他方法(例如ALD及CFD)為較不保角的,因此它們亦可為特別有用的,較低的保角性在覆蓋背面微粒的情境下是有幫助的(以下進一步討論)。
CVD的一替代方案為原子層沉積處理及電漿增強原子層沉積處理。ALD/ PEALD方法涉及反應物氣體的自限吸附並可提供薄、保形的介電質膜。ALD方法已經發展用於矽氧化物及其他類型的膜之沉積。藉由ALD而產生的膜非常薄(例如,約一單分子層);因此,可重複許多的ALD循環以達成具有想要的厚度之膜,且其相較於CVD處理可能需要相對較長的時間來達到想要的厚度。
相較於使用活化氣相反應來沉積膜的CVD處理,ALD處理使用表面媒介沉積反應而以逐層堆疊的方式來沉積膜。在一範例ALD處理中,將包括了表面活性部位之群體的基板表面暴露於第一膜前驅物(P1)的氣相配送。P1的一些分子可在基板表面之上形成凝態,其中包括了P1的化學吸附物種及物理吸附分子。接著將反應器抽空以移除氣相及物理吸附的P1,使得僅有化學吸附物種留下。接著將第二膜前驅物(P2)導入至反應器中,使得P2的一些分子吸附至基板表面。可再次將反應器抽空,這一次是為了移除未結合的P2。隨後,提供至基板的能量(例如熱或電漿能量)使得在P1與P2的被吸附分子之間的表面反應活化,從而形成一膜層。最後,將反應器抽空以移除反應副產物及可能的未反應P1及P2,從而結束ALD循環反應。可包括額外的ALD循環以增加膜厚度。
依據前驅物注劑步驟的暴露時間及前驅物的粘著係數,在一範例中,每一ALD循環可沉積在約0.5與3Å之間厚的一膜層。
對於CVD提出了可行替代方案的相關沉積技術為保角膜沉積(CFD)。大體而言,CFD不依賴在用以形成膜的反應之前將一或更多反應物完全吹淨。例如,當激發電漿(或其它活化能量)時可有一或更多反應物存在於汽相中。因此,可將ALD處理中描述的處理步驟其中一或更多者(例如,吹淨/掃除步驟)縮短或消除。在一些實例中,可持續地提供反應物。持續提供之反應物經常為共反應物/輔助反應物(例如,含氧或氮的反應物,而不是主要反應物(例如將矽貢獻給最終的膜的反應物))。即使是在CFD處理中,通常也需要在腔室暴露於電漿時將反應腔室中未吸附之主要反應物的量最小化。否則,可能會導致氣相CVD反應。一般而言,能夠執行ALD處理的腔室亦能夠執行CFD處理,且如本文中所使用,CFD處理被視為是ALD處理的一類型。
用以對抗本文中所描述之應力及翹曲問題的另一技術為在晶圓的正面上包括一應力補償層。可在導致特定位準之應力的條件下沉積該應力補償層,其中該特定位準之應力可抵消來自正面層的其餘部分之應力。舉例而言,若正面沉積(不包括應力補償層)導致了一壓縮應力,則可在會導致拉伸應力的條件下沉積正面應力補償層,從而抵消來自其餘正面層的壓縮應力。在某些實例中,這樣的應力補償層可設置於層的堆疊(例如,交替的氧化物及多晶矽層、或交替的氧化物及氮化物層、等)之頂部上及硬遮罩層下。此結構可尤其與製造3D-NAND元件的情境相關。
圖1B繪示了基板150,基板150具有蝕刻停止層151、交替的氧化物/多晶矽層之堆疊153、正面應力補償層155、含碳硬遮罩層157、及PECVD沉積的氮氧化矽層159。在某些實施例中,應力補償層155亦可為蝕刻停止層。正面應力補償層155的最佳厚度會取決於其他正面層所誘發之應力、以及補償層155本身的應力。相較於應力較小的層,以更大應力位準沉積之應力補償層可以更薄的厚度有效地對抗應力。在一些實施例中,應力補償層之厚度為約0.1 µm或更大。在這些或其它實施例中,應力補償層之厚度可為約1 µm或更小。在一特定範例中,應力補償層為約 0.3µm厚。
應力補償層可由表現出高位準之應力的各樣材料製成。在一些實例中,該層係由高度壓縮性或高度拉伸性的氧化物或氮化物材料所製成。在一些實施例中,正面應力補償層亦可由高應力的金屬層製成。舉例而言,可以高度的拉伸應力來沉積鎢膜。這樣的鎢層可沉積在材料的堆疊中、或材料的堆疊上。鎢層可同時做為應力補償層及硬遮罩層。在其他實例中,鎢層係做為應力補償層、且係與做為硬遮罩的另一層一起提供。在許多實例中,選擇用於應力補償層的材料應為可輕易藉由濕及/或乾蝕刻處理而移除的。在某些實施例中,可在後續處理期間內移除應力補償層,在一些實例中例如在微影操作之後。舉例而言,可透過蝕刻或清潔處理來移除應力補償層。可藉由控制本文中其它地方所描述之沉積參數而調整應力補償層的應力位準。
應力補償層的另一優點(無論出現於晶圓的正面或背面)為相對於用於硬遮罩層的材料而言達成了更寬廣的處理窗口。一般而言,吾人想要使用為高度選擇性的硬遮罩材料。然而,許多高度選擇性的硬遮罩材料亦傾向於具有高位準的應力。因此,為了避免加劇晶圓應力問題,當晶圓應力是問題的時候一般不使用這些高選擇性的硬遮罩材料。在另一方面,當晶圓的應力被正面或背面的應力補償層所抵消時,即使是高選擇性的、相對較高應力的硬遮罩材料也可以使用。在此實例中,可將應力補償層設計來對抗全部的正面沉積材料(包括硬遮罩層)所誘發之應力。
圖2A及2B繪示了上述的晶圓應力問題。圖2A顯示了晶圓201,該晶圓具有沉積在其上的材料堆疊202。沉積的堆疊202對下面的晶圓201造成應力,導致了圖2A中的翹曲形狀。圖2B顯示了相同的晶圓201,該晶圓具有沉積在正面上的材料堆疊202、以及沉積在背面上的背面層204。背面層204抵消了正面堆疊202所導入的應力。此應力抵銷導致了更平坦的基板(僅有很少的翹曲或沒有翹曲)。晶圓201、正面堆疊202、及背面層204的厚度不一定為按比例繪製。此外,圖2A中可能誇大了翹曲的程度以更好地繪示該問題。在某些實例中,晶圓翹曲可接受的容忍值為小於約150 µm(從晶圓的最低高度垂直測量至晶圓的最高高度)。圖2A中將此距離標示為高度205。
雖然背面沉積在對抗應力及翹曲上是有用的,但它在其他情境中亦可為有利的。例如,背面沉積可用以處理由於晶圓背面上形成微粒而引起的問題。如上面所述,某些沉積後處理(例如,蝕刻、離子植入)導致晶圓的背面上形成微粒。這些微粒在後續處理中(例如在微影操作期間內)可以是有問題的。
由於背面微粒會導致晶圓的局部應力及變形(尤其是當晶圓被靜電卡盤固定在平面上(例如在微影操作中)時),因此它們為有害的。當晶圓被卡盤固定時,其沿著存在於晶圓底面的任何微粒而變形。此變形在晶圓中誘發局部應力。而局部應力及變形在微影處理期間內是有問題的,且可於處理期間內導致散焦的問題。已經可以看出來,晶圓上背面微粒的位置與微影缺陷的位置強烈相關。因此,吾人希望將背面微粒的影響移除或者抵消(尤其是在進行微影操作(或其它敏感操作)之前)。
在某些實施例中,透過使用沉積在晶圓背面上的犧牲層而將背面微粒的有害影響最小化。圖3A及3B呈現了利用這樣的犧牲層的二實行例。這些圖式中所示的實施例係在為微影操作準備基板的情境下提供。所屬技術領域中具有一般技藝者會理解可將微影操作替換為具有相似敏感性(例如,需要總體及局部皆非常平坦之基板)的任何其他處理。為了繪示之目的,可能誇大了圖3A及3B中所示的層及微粒之尺寸。
圖3A顯示了在製造的不同階段期間內的一部分晶圓之分圖(i)-(iv)中的橫剖面圖。在此實施例中,犧牲層係於背面微粒產生之前沉積。為了比較,在圖3B的實施例中,犧牲層係於背面微粒產生之後沉積。回到圖3A的實施方式,如分圖(i)中所示,基板301設置有在其上的正面沉積物302。如上面所述,正面沉積物302可為材料之堆疊。正面沉積物302亦可為任何其它類型的沉積物、且可包括任何數目的層、結構、特徵、元件、等。從分圖(i)移至(ii),將犧牲背面層304沉積在晶圓301的背面上。接著,從分圖(ii)移至(iii),執行沉積後處理,該沉積後處理形成了改質後正面沉積物306。該沉積後處理可涉及蝕刻、離子植入、退火、清潔、等。許多時候,沉積後處理導致了微粒305於晶圓背面上形成。在此,由於犧牲背面層304為存在的,所以微粒305形成在犧牲層304上。在形成微粒的沉積後處理完成之後,犧牲背面層304以及微粒305可一起被移除(從分圖(iii)移至圖3A中的分圖(iv))。可透過任何適當的處理將背面層304移除。濕式處理及乾式實施例皆為可用的,雖然濕式處理一般而言更有效的。一旦犧牲層304及微粒305已被移除,則晶圓準備好接受微影處理。由於微粒不再存在,所以微影可如所希望般在極為平坦的晶圓上進行,且微影缺陷的量相較於沒有使用背面沉積的情況顯著降低。
如上面所述,犧牲層應由可被從下面的晶圓上輕易移除的材料製成。換言之,在移除化學品中犧牲層與晶圓材料之間應具有良好的選擇性。在許多實例中,犧牲層為介電材料例如矽氧化物或矽氮化物,但亦可使用其它材料。應將犧牲層沉積至足以抵消微粒所導致之應力影響及局部變形之厚度。一般而言這為相對較薄的厚度,例如在約500-3000 Å之間。一般而言,該層薄得足以使其實質上沒有將額外的應力導入至晶圓(除了在犧牲層亦係用以平衡正面層所誘發之應力的實施例中)。換言之,該層有時候為足夠薄的,使得它不會引起翹曲或其他應力的問題,但在某些實例中可厚到足以抵消正面沉積物所導致之翹曲/應力問題。
圖3B顯示了替代性實施例中於製造的複數階段期間內的一部分晶圓之分圖(i)-(v)中的橫剖面圖。此技術以與圖3A中所示相同的方式開始,其中晶圓301具有正面沉積物302。從分圖(i)移至(ii),執行沉積後處理。在本實施例中,沉積後處理於任何背面沉積發生之前發生。處理導致微粒305形成,該微粒直接粘附至晶圓301。該處理亦以某種方式改變了正面沉積物,將被沉積後處理改質的正面沉積物標記為306。接著,從分圖 (ii)移至(iii),沉積犧牲背面層304。層304係沉積至足以覆蓋微粒305並在晶圓的暴露底面提供極其平坦之表面的厚度。從分圖(ⅲ)移至(iv),執行微影處理。這改變了正面沉積物306以形成微影改質正面沉積物307。吾人應了解,正面沉積物層302、306及307皆與處理期間內不同時間點在晶圓正面上的沉積物相關。犧牲層304在晶圓的底部提供了極度平坦的表面,藉此將微粒305所導致的局部變形及應力問題最小化或消除。因此,即使微影發生時微粒305仍然在晶圓301上,它們的存在對微影不是有害的。由於平坦的犧牲層304與靜電卡盤接觸以使晶圓適當地被卡盤固定而不會有沿著微粒305的任何變形,因此在此實例中微粒為沒有問題的。接著,從分圖(iv)移至分圖(v),將犧牲背面層移除。移除背面層304的處理亦移除了微粒305以留下乾淨、平坦的晶圓。如上面所述,可透過濕式或乾式方法來移除背面層304。 設備
本文中所述的方法可藉由任何適當的設備來執行。適當的設備包括了用以完成處理操作的硬體(例如, 用以執行背面沉積而無須接觸(或最低限度地接觸)晶圓正面的硬體)及具有用以根據本發明的方法來控制處理操作的指令之系統控制器。例如,在一些實施例中,硬體可包括包含在處理工具中的一或更多處理站。
圖4A顯示了設備400的簡化版本之橫剖面圖,該設備能夠在晶圓451的背面上進行沉積(圖4A中將晶圓451顯示為黑色水平線)。圖4B顯示了設備400的一部分的特寫圖。具體而言,圖4B顯示了設備400中如何支撐晶圓451。晶圓451在其周緣或周緣附近被晶圓支撐環453所支撐。支撐環453可在晶圓的底部表面(在晶圓邊緣附近稱為支撐接觸區域的區域中)接觸晶圓451。支撐接觸區域為環狀、且可為非常小的以使得實質上晶圓的整個背面(例如,以表面面積來測量至少約95%、或至少約99%)於沉積期間內暴露。在一些實施例中,在晶圓底部上的支撐接觸區域從晶圓的邊緣向內延伸5 mm或更少,例如約1 mm或更少。在圖4B之範例中,支撐接觸區域係在晶圓451的底部從晶圓的周緣向內延伸距離461。支撐環453亦可在晶圓邊緣附近接觸晶圓的頂面。在這些實例中,支撐接觸區域延伸至晶圓的頂面。在本實施例中,支撐環可具有C形的局部橫剖面(而不是如圖4B中所顯示的L形),該橫剖面在晶圓周緣的一部分之下方及上方延伸。當支撐環接觸晶圓的頂面,應注意確保支撐環不會傷害晶圓的正面。這樣的照護可包括確保支撐環只在小的限定區域(支撐接觸區域)中接觸晶圓正面,而不是在有效區域中。在一些實施例中,在晶圓頂部上的支撐接觸區域從晶圓的邊緣徑向地向內延伸不超過約0.5 mm、或不超過約0.25 mm。
在一些實施例中,可用在晶圓周緣/周緣附近支撐該晶圓的另一晶圓支撐機構取代支撐環。一範例為一系列的三或更多分開的樁釘(pegs),該三或更多分開的樁釘在沿著晶圓邊緣的不同位置支撐著晶圓。在一些實例中,樁釘可圍繞著晶圓以於處理期間內更好地將其固定在位置中。這些樁釘(或其他支撐機構)可在上述的支撐接觸區域內接觸晶圓。
在任何實例中,可對用以固定基板的機構進行設計,俾使晶圓的正面實質上不與反應器的任何部分接觸。如本文所使用,這意味著晶圓451的正面與晶圓支撐機構453(例如,支撐環、樁釘、等) 或設備的其它部分之間的任何接觸僅發生在晶圓的邊緣附近。晶圓的正面包括了製造元件的有效區域,該有效區域被非有效的周緣區域所包圍。非有效的周緣區域的存在係由於晶圓的幾何形狀、及於處理期間內對晶圓進行搬運的需要。藉由確保晶圓正面的有效區域不接觸反應器的任何部分,可完全避免對晶圓正面的傷害或使其最小化。由於基板被切割成單獨元件時一般將周緣的非有效區域移除並丟棄,因此在許多實例中發生在正面最邊緣的接觸為沒有問題的。因此,發生在此區域中的接觸對於晶圓上形成的最終元件不是致命的。
回到圖4A及4B之實施例,支撐環453將晶圓451固定在沉積區域459上方。沉積區域459為反應物氣體被導入、反應、及沉積在晶圓451上的區域。沉積區域459至少與晶圓451的區域約為同廣衍的。下表面463可界定了沉積區域459的底部,該下表面在此實施例中亦係做為噴淋頭463。下表面463一般實質上平行於晶圓451。在許多實例中,沉積區域459的高度(依晶圓451的底面與下表面之間的距離來測量)可為相對較小的。例如,沉積區域459可具有約5-30 mm之間的高度,例如在約15-25 mm之間。在一些實施例中,下電極 /噴淋頭表面463、及支撐環453其中至少一者為可移動的,俾使沉積區域459的高度可進行調整。
如前面所述,下表面463界定了沉積區域459的底部。在各樣的實行例中,對底部表面463供電(例如,用RF電源)。在一些實施例中,根據需要對下表面463進行改造以做為噴淋頭來提供處理氣體。在其他實施例中,下表面463可為較簡單的,且可透過替代入口來提供處理氣體。在以下的美國專利案及專利申請案(其中每一者被完整納入本文中)中進一步討論可改造用於背面沉積腔室的噴淋頭設計:美國專利案第8147648號,申請日期為2008年8月15日,發明名稱為「COMPOSITE SHOWERHEAD ELECTRODE ASSEMBLY FOR A PLASMA PROCESSING APPARATUS」;及美國專利申請案第13828176號,申請日期為2013年3月14日,及發明名稱為「COMPOSITE SHOWERHEAD ELECTRODE ASEMBLY FOR A PLASMA PROCESSING APPARATUS」。
可使用各樣不同類型的電漿。例如,電漿可直接於沉積區域459中產生(換言之,直接電漿),或可於不同的位置產生並以管道輸送至沉積區域中(換言之,遠距電漿)。可使用任何適當的電漿產生器。在各樣的實施例中,電漿係在晶圓451下方之有供電的下電極/噴淋頭463與晶圓上方之接地的上電極/頂部表面455之間產生的電容耦合電漿。
在晶圓451上方為小的正面間隙457。此間隙457在晶圓451的頂部表面與反應腔室中的上表面455之間延伸。為了繪示之目的,在圖4A中誇大了間隙的尺寸。上表面455可為加熱器、接地板、腔室頂板、或另一類型的板/表面。在許多實例中,上表面455係做為電極。在一些實施例中,正面間隙457的高度為約0.5 mm或更小,例如約0.35 mm或更小。在這些或其它實施例中,正面間隙457的高度可為至少約0.1 mm或更大,例如至少約0.25 mm或更大。在許多實例中,上表面455實質上平行於晶圓。如圖4B中所示,上表面/電極455亦可沿著基板的邊緣延伸,俾使其與晶圓支撐環453相接觸。在沉積處理中,惰性氣體(例如N2 、Ar、等)係從正面入口465導入並通過晶圓451的正面。正面入口465可設置在晶圓451的中央或中央附近,俾使惰性氣體從晶圓的中央向外流動。此向外流動的惰性氣體有助於確保沒有導致沉積的氣體進入正面間隙457或與晶圓451的正面接觸。換言之,惰性氣體流動有助於確保沒有材料能夠於背面沉積期間內沉積在晶圓451的正面上。為了進一步保護晶圓451的正面,可對正面間隙457進行設計以使得其小於電漿鞘的厚度。這有助於確保電漿不會進入可以傷害基板的正面間隙。
在許多實例中,電漿為於上電極與下電極之間產生的電容耦合電漿。在某些實例中,上電極可與接地端相連接,且下電極可與RF來源相連接。可在某種程度上對下電極進行操作以從電漿收集電子。在各樣的實例中,可使用雙RF(例如,使用及控制LF及HF頻率及功率)以調製沉積膜之應力。
在一些實施例中,背面沉積反應器為已被修改來執行背面沉積的斜角清潔設備(bevel cleaning apparatus)。可修改之處理設備的一範例為來自加州Fremont的Lam Research之Coronus®電漿斜角清潔設備。以下的美國專利案中進一步討論了此設備,其中每一者的內容被完整納入做為參照:美國專利案第7858898號,申請日期為2007年1月26日,發明名稱為「BEVEL ETCHER WITH GAP CONTROL」;及美國專利案第7943007號,申請日期為2007年1月26日,發明名稱為「CONFIGURABLE BEVEL ETCHER」;及美國專利案第8562750號,申請日期為2009年12月17日,發明名稱為「METHOD AND APPARATUS FOR PROCESSING BEVEL EDGE」。
對於執行背面沉積有用的修改一般包括了安裝不同的晶圓固定器(例如,在晶圓周緣對其進行支撐、並允許晶圓背面於處理期間內維持暴露於電漿的環狀晶圓固定器)、及安裝(或修改)不同的氣體輸送系統(例如,用以輸送沉積氣體至晶圓背面下方的沉積區域、同時亦將惰性氣體輸送至晶圓正面上方的正面間隙)。此外,可在晶圓上方增加加熱器及/或接地板(如果還沒有的話)。
圖5顯示了用以在晶圓正面上沉積膜的處理站500之簡化版本的橫剖面圖。為簡單起見,處理站500係描繪成一獨立的站,該站具有用以維持低壓環境的處理腔室主體502。然而,吾人應理解一共同的處理工具環境中可包括複數處理站500。此外,吾人應理解,在一些實施例中可藉由一或更多電腦的控制器而程式化地調整處理站500的一或更多硬體參數。
處理站500與用以將處理氣體輸送至配送噴淋頭506的反應物輸送系統501流體連通。反應物輸送系統501包括了混合容器504,該混合容器係用以混合及/或調製用以輸送至噴淋頭506的處理氣體。一或更多混合容器入口閥520可控制處理氣體至混合容器504之導入。
一些反應物可於汽化與隨後輸送至處理站之前以液體形態儲存。圖5之實施例包括用以將待供應至混合容器504的液體反應物汽化之汽化點503。在一些實施例中,汽化點503可為加熱汽化器。從這樣的汽化器產生的飽和反應物蒸汽於未採取適當的控制時可能在下游的輸送管道中凝結(對抗這件事的一方式為於液體反應物汽化/霧化時包括氦)。不相容的氣體暴露於凝結的反應物可產生小微粒。這些小微粒可堵塞管道、妨礙閥門操作、污染基板、等。用以解決這些問題的一些手段涉及了對輸送管道進行掃除及/或抽空以移除殘留的反應物。然而,對輸送管道進行掃除可能增加處理站的循環時間、降低處理站的生產量。因此,在一些實施例中,在汽化點503下游的輸送管道可為伴熱的(heat traced)。在一些範例中,混合容器504亦可為伴熱的。在一非限制性範例中,在汽化點503下游的管道具有從約100°C°延伸至在混合容器504的約150°C之增溫曲線(increasing temperature profile)。
如前面所述,在一些實施例中汽化點503為加熱的液體噴射器。液體噴射器可將液體反應物之脈衝噴射至混合容器上游的載體氣體流中。在一情況中,液體噴射器可藉由使液體遽然從高壓通至低壓而將反應物汽化。在另一情況中,液體噴射器可將液體霧化成為隨後在加熱輸送管道中被汽化的分散微液滴。吾人應理解,更小的液滴可汽化比較大的液滴更快,從而減少液體噴射與完全汽化之間的延遲。更快的汽化可減少汽化點503下游的管道長度。在一情況中,可直接將液體噴射器安裝至混合容器504。在另一情況中,可直接將液體噴射器安裝至噴淋頭506。
在一些實施例中,可設置在汽化點503上游的液體流量控制器來控制用以汽化及輸送至處理站500的液體之質量流量。例如,液體流量控制器(LFC)可包括位於該LFC下游的熱質量流量計(MFM)。該LFC的柱塞閥可接著對由與該MFM電氣通信的比例-積分-微分(PID)控制器所提供的反饋控制信號做出反應而調整。然而,使用反饋控制來穩定液體流量可能需要一秒或更長時間。這可能延長對液體反應物進行注劑的時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間動態切換。在一些實施例中,LFC可藉由停用PID控制器及LFC的感應管而從反饋控制模式動態切換至直接控制模式。
噴淋頭506將處理氣體配送向基板512。在圖5中所示之實施例中,基板512係位於噴淋頭506的下方、並顯示為置於底座508上。吾人應理解,噴淋頭506可具有任何適當的形狀、且可具有任何適當數量及配置之用以將處理氣體配送至基板512的埠。
在一些實施例中,微體積507係位於噴淋頭506下方。在微體積中執行CFD處理(而不是在處理站的整個體積中執行)可減少反應物的暴露及掃除時間、可減少用以改變處理條件(例如壓力、溫度、等)的時間、可限制處理站機械臂對於處理氣體之暴露、等。範例微體積尺寸包括(但不限於)在0.1公升與2升之間的體積。
在一些實施例中,底座508可升高或降低以使基板512暴露於微體積507、及/或以改變微體積507的體積。例如,在基板傳遞階段,底座508可被降低以使得基板512得以被裝載至底座508上。在基板處理階段的沉積期間內,底座508可升高以將基板512放置至微體積507內。在一些實施例中,微體積507可完全將基板512及底座508的一部分包圍以於沉積處理期間內創造高流動阻抗的區域。
可選性地,底座508可於部分的沉積處理期間內降低及/或升高以對微體積507內的處理壓力、反應物濃度、等進行調整。在處理腔室主體502於處理期間內保持基礎壓力(base pressure)的一情況中,將底座508降低可使得微體積507得以被抽空。微體積對處理腔室體積的範例性比率包括(但不限於)在1:500與1:10之間的體積比。吾人應理解,在一些實施方式中,可藉由適當的電腦控制器而程式化地調整底座高度。
在另一情況中,調整底座508的高度可使電漿密度得以在沉積處理中所包括之處理循環及/或電漿活化期間內進行變化。在沉積處理階段的結尾,底座508可於另一基板傳遞階段期間內降低以使得基板512得以從底座508移除。
雖然在本文中所述之範例性微體積變化係指高度可調整的底座,但吾人應理解,在一些實施方式中噴淋頭506的位置可相對於底座508而調整以改變微體積507的體積。此外,吾人應理解,可在本揭露內容之範圍內藉由任何適當的機構來改變底座508及/或噴淋頭506的垂直位置。在一些實施例中,底座508可包括用以旋轉基板512之定向的旋轉軸。吾人應理解,在一些實施例中,可藉由一或更多適當的電腦控制器而程式化地執行這些範例性調整其中的一或更多者。
回到圖5中所示之實施例,噴淋頭506及底座508與用以對電漿供電的RF電源514及匹配網絡516電氣通訊。在一些實施例中,可藉由對處理站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一或更多者進行控制而控制電漿能量。例如,RF電源514及匹配網絡516可以任何適當的功率操作以形成具有想要的自由基物種成分之電漿。上面包括了適當功率之範例。同樣地,RF電源514可提供任何適當頻率的RF功率。在一些實施例中,RF電源514可用以對高及低頻RF功率來源進行彼此獨立地控制。範例性低頻RF頻率可包括(但不限於)在50 kHz與500 kHz之間的頻率。範例性高頻RF頻率可包括(但不限於)在1.8 MHz與2.45 GHz之間的頻率。吾人應理解,可對任何適當的參數進行離散或連續地調整以為表面反應提供電漿能量。在一非限制性範例中,可對電漿功率進行間歇脈衝以減少與基板表面的離子轟擊(相較於連續供能電漿)。
在一些實施例中,可藉由一或更多電漿監測器對電漿進行原位(in-situ)監測。在一情況中,可藉由一或更多電壓、電流感測器(例如,VI探針)對電漿功率進行監測。在另一情況中,可藉由一或更多光學放射光譜感測器(OES)來測量電漿密度及/或處理氣體濃度。在一些實施例中,可基於來自這樣的原位電漿監測器的測量結果而程式化地調整一或更多電漿參數。例如,可在反饋迴路中使用OES感測器以提供對電漿功率的程式化控制。吾人應理解,在一些實施例中,可使用其他監測器來監測電漿及其他處理特性。這樣的監測器可包括(但不限於)紅外(IR)監測器、音訊監測器、及壓力換能器。
在一些實施例中,可透過輸入/輸出控制(IOC)序列指令來控制電漿。在一範例中,用以為電漿活化階段設定電漿條件的指令可被包括在處理配方之對應的電漿活化配方階段中。在一些實例中,可依序安排處理配方階段,俾使一處理階段的所有指令與該處理階段同時執行。在一些實施例中,用以設定一或更多電漿參數的指令可被包括在電漿處理階段之前的配方階段中。例如,第一配方階段可包括用以設定惰性氣體(例如,氦)及/或反應物氣體之流率的指令、用以將電漿產生器設定至功率設定點的指令、及第一配方階段的時間延遲指令。第二、後續的配方階段可包括用以啟動電漿產生器的指令、及第二配方階段 的時間延遲指令。第三配方階段可包括用以停用電漿產生器的指令、及第三配方階段的時間延遲指令。吾人應理解,這些配方階段可在本揭露內容之範圍內以任何適當的方式進一步細分及/或重複。
在一些沉積處理中,電漿激發在持續時間上持續了大概幾秒鐘或更多。在本文中所述之某些實行例(例如使用原子層沉積的實行例)中,可在處理循環期間內施加短得多的電漿激發。這些電漿激發可大概在50 ms至1秒(以0.25秒為一具體的範例)。如此短的RF電漿激發需要電漿的快速穩定。為了達成這一點,可對電漿產生器進行配置以使阻抗匹配被預設為一特定電壓、同時容許頻率浮動。習知上,高頻電漿係在約13.56MHz的RF頻率產生。在本文中所揭露的各樣實施例中,容許頻率浮動至與此標準值不同的一值。藉由在允許頻率浮動的同時將阻抗匹配固定至一預定電壓,電漿可以更迅速地穩定,而這可為使用與ALD/CFD循環相關之非常短的電漿激發時重要的結果。
在一些實施例中,可透過加熱器510對底座508進行溫度控制。此外,在一些實施例中,可藉由蝶形閥518來提供對處理站500的壓力控制。如圖5之實施例中所示,蝶形閥518對下游真空泵浦(未顯示)所提供的真空進行節流。然而,在一些實施例中,亦可藉由改變被導入至處理站500的一或更多氣體之流率而調整處理站500的壓力控制。
處理站500的內表面可塗覆有一調整層550,該調整層可包括底塗層及/或預沉積塗層。變得塗覆有調整層的表面之範例包括腔室壁502、腔室頂板及底板、底座508及噴淋頭506。
在以下美國專利案及專利申請案中進一步討論了用以執行正面沉積的適當設備及方法,而其中每一者的內容被完整納入做為參照:美國專利申請案第13/084399號,申請日期為2011年4月11日,發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;美國專利申請案第14/158536號,申請日期為2014年1月17日,發明名稱為「METHOD AND APPARATUS FOR THE REDUCTION OF DEFECTIVITY IN VAPOR DEPOSITED FILMS」;及美國專利案第8101531號,申請日期為2010年9月23日,發明名稱為「PLASMA-ACTIVATED DEPOSITION OF CONFORMAL FILMS」。用以執行正面沉積的適當設備的一範例為來自加州Fremont的Lam Research公司的VECTOR®產品家族。
圖6繪示了在某些實施例中可用以蝕刻基板的感應耦合反應腔室/工具600之橫剖面圖。本文中的實施例亦可以非感應耦合電漿來實施。感應耦合電漿蝕刻設備600包括一整體蝕刻腔室,該整體蝕刻腔室在結構上係由腔室壁601及窗口611所界定。腔室壁601一般係由不銹鋼或鋁所製作。窗口611一般係由石英或其他介電材料所製作。
一可選性的內電漿格柵650可將整體蝕刻腔室劃分成上子腔室602(於此產生電漿)、及下子腔室603(於此對基板進行處理)。在某些其它實行例中,使用更複雜的電漿格柵組件。例如,電漿格柵組件可包括多個格柵、以及支撐結構及導致移動的元件。電漿格柵650或格柵組件可用以促成在下子腔室603(晶圓係在此進行處理)中的離子-離子電漿之形成。離子-離子電漿具有低有效電子溫度(例如,約1 eV或更小)及低電子密度(例如,約5×109 cm-3 或更少 )。相較而言,上子腔室602中出現的電漿可具有較下子腔室603中的電漿更高之有效電子溫度,在一些實例中至少為約兩倍高(以eV測量)。此外,上子腔室602中的電漿可具有較下子腔室603中的電漿更高之電子密度,例如至少為10倍高。在各樣的實施例中,可省略此內電漿格柵650、並將上及下子腔室602及603結合成單一處理腔室。圖6描述的剩餘部分將著重於電漿格柵650存在的實施例。
卡盤617係位於下子腔室603內的底部內表面附近。卡盤617係用以容納及固定半導體晶圓619(蝕刻處理係於其上執行)。卡盤617可為用以於晶圓存在時對其進行支撐的靜電卡盤。在一些實施例中,一邊緣環(未顯示)環繞著卡盤617、且具有一上表面,該上表面於晶圓存在於卡盤617上時大約與晶圓的頂部表面共平面。卡盤617亦包括靜電電極以使晶圓的卡盤固定及從卡盤取出得以進行。可為此目的而設置濾波器及DC卡鉗電源。亦可設置其他用以將晶圓抬升離開卡盤617的控制系統。可使用RF電源623對卡盤617供電。RF電源623透過連線627連接至匹配電路621。匹配電路621透過連線625連接至卡盤617。以此方式,RF電源623連接至卡盤617。
線圈633係位於窗口611上方。線圈633係由導電材料製成,並包括至少一整圈。在圖6中所示之範例性線圈633包括三圈。具有「X」的線圈633符號之橫剖面表示該線圈633旋轉地延伸至頁面中。相反地,具有「•」的線圈633符號表示該線圈633旋轉地延伸出頁面。RF電源641係用以將RF功率供應至線圈633。一般來說,RF電源641係透過連線645連接至匹配電路639。匹配電路639係透過連線643連接至線圈633。以此方式,RF電源641連接至線圈633。一可選性的法拉第屏蔽649係位於線圈633與窗口611之間。法拉第屏蔽649相對於線圈633而言係維持在間隔開的關係。法拉第屏蔽649係配置於窗口611的正上方。線圈633、法拉第屏蔽649、及窗口611其中每一者係配置為實質上彼此平行。法拉第屏蔽可防止金屬或其它物種沉積在電漿腔室的介電窗上。
可透過位於上腔室的主噴射埠660及/或透過側噴射埠670(有時被稱為STG)來提供處理氣體。未顯示氣體排出埠。亦未顯示的為連接至腔室601的泵浦,該泵浦係用以使操作電漿處理期間內氣態副產物從腔室之移除、及真空控制得以進行  。
在設備的操作期間內,可透過噴射埠660及/或670來供應一或更多反應物氣體。在某些實施例中,可僅透過主噴射埠、或僅透過側噴射埠來供應氣體。在一些實例中,可以噴淋頭來取代噴射埠。法拉第屏蔽649及/或格柵650可包括讓處理氣體得以輸送至腔室的內通道及孔。換言之,法拉第屏蔽649及格柵650其中任一者或兩者皆可做為用以輸送處理氣體之噴淋頭。
射頻功率係從RF電源641施加至線圈633以使RF電流流過線圈633。流過線圈633的RF電流在線圈633附近產生一電磁場。該電磁場在上子腔室602中產生一感應電流。該感應電流對於存在於上子腔室602中的氣體起作用以於上子腔室602中產生電子-離子電漿。內電漿格柵650限制了下子腔室603中的熱電子之數量。在各樣的實施例中,對該設備進行設計及操作,俾使存在於下子腔室中的電漿為離子-離子電漿。
上面的電子-離子電漿及下面的離子-離子電漿兩者皆含有正離子及負離子,然而離子-離子電漿會具有更大的負離子:正離子比。各樣的離子及自由基與晶圓619的物理及化學交互作用選擇性地蝕刻了晶圓的特徵。揮發性蝕刻副產物係透過排氣埠(未顯示)而從下子腔室移除。重要地,這些揮發性副產物實質上不暴露於熱電子,因而它們不太可能解離為可再沉積至晶圓上的非揮發性「粘性」解離產物。
一般而言,本文中所揭露之卡盤在介於約30°C至約250°C之間的高溫操作,較佳在約30-150°C之間。該溫度會取決於蝕刻處理操作及特定配方。腔室601亦會於約1毫托與約95毫托之間、或在約5-20毫托之間的壓力範圍內操作。
雖然沒有顯示,但當安裝在潔淨室或製造設施中時,腔室601一般連接至複數設施。複數設施包括了提供處理氣體、真空、溫度控制、及環境微粒控制之管路系統。當安裝在目標製造設施中時,這些設施連接至腔室601。此外,腔室601可連接至一傳遞腔室,該傳遞腔室使得機器臂可以使用一般的自動化技術來將半導體晶圓運進或運出腔室601。
在以下的美國專利申請案中進一步討論用以執行乾蝕刻操作之設備及方法,其中每一者的內容被完整納入做為參照:美國專利申請案第14/082009號,申請日期為2013年11月15日,發明名稱為「INTERNAL PLASMA GRID FOR SEMICONDCUTOR FABRICATION」;美國專利申請案第13/916318號,申請日期為2013年6月12日,發明名稱為「INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION」;及美國專利申請案第13/936930號,申請日期為2013年7月8日,發明名稱為「ION BEAM ETCHING SYSTEM」。在一些實施例中可用以蝕刻基板之設備的範例包括了可從加州Fremont的Lam Research公司獲得的Kiyo®及Versys®反應器家族。
根據某些實施例,圖7繪示了可用以藉由使用濕式化學品而將材料從基板剝除的移除室。可酌情使用其他類型的移除室。在此範例中,剝除處理開始於接收基板701並將其密封在移除室700的基板固定器702中。基板固定器702(有時亦稱為晶圓固定器)將基板的周緣支撐在大約環狀的杯狀物中以將基板固定在適當位置。待移除之材料(在許多實施例中為介電材料)面向下方(遠離基板固定器702)。可對杯狀物進行設計,俾使其支撐著晶圓而不會接觸當前未進行處理之晶圓面。在移除背面層的情境中,該杯狀物可設計用以支撐晶圓而不會實質上接觸晶圓的正面。為此,可將間隙701設置於基板701上方。應對杯狀物702及對應的唇式密封件或其他密封件進行設計以確保用以剝除材料的化學品不會滲透至間隙720中。這有助於在剝除處理期間內保護晶圓的另一面。當剝除發生在晶圓的背面,這樣的密封件對於保護寶貴的正面沉積物免於傷害係特別重要的。只要晶圓被適當地支撐及保護,可使用其它移除室定向(例如,將該室旋轉90°或180°),。
在某些實施例中,待進行剝除的工作件具有實質上水平的定向(在某些實例中可於整個剝除處理、或剝除處理的一些部分期間內從真水平變化幾度)、且被供電以於剝除期間內旋轉。所述之剝除設備與類似的「噴泉」鍍附設備具有許多共同的設計特徵。噴泉鍍附類的室/設備之成員的一範例為由加州Fremont的Lam Research公司所製造並提供的Sabre®電鍍系統。此外,美國專利案第6800187號(申請日期為2001年8月10日)及美國專利案第8308931號(申請日期為2008年11月7日)中描述了噴泉電鍍系統,其內容被完整納入本文中做為參照。雖然本文中的討論著重於此類型的水平基板定向,但其它定向亦為可能的。在一範例中,晶圓可以實質上垂直之方式定向。
欲移除之材料一般沉積得離基板邊緣有一些距離(例如約1 mm),而這使得晶圓基板沿著晶圓周緣的一帶暴露出來。基板固定器702在此暴露之周緣區域密封至基板701上。此安排形成可靠的密封並防止材料落入基板固定器的範圍(這可導致落入範圍之材料不佳地殘留在基板上)。將基板密封於基板固定器中為有利的,因為其幫助防止流體洩漏,而流體洩漏可能會需要過量之剝除化學品、或者於移除室內造成不佳的流體動力學(例如在晶圓邊緣附近不連續的流動)。
剝除處理隨著將基板701旋轉並浸泡至剝除溶液中而繼續,該剝除溶液可為加熱的。旋轉可於浸泡之前、期間內、或之後開始。在一些實施例中,旋轉以在約1-20 RPM之間(例如在約5-15 RPM之間)的速率發生。在圖7之實施例中,剝除溶液填滿了基板701與底板704之間的空腔706,其中基板與底板係實質上彼此平行的(例如在約20°以內)。可對底板704進行修改以改善空腔706內的流動特性。這樣的修改可包括使用突起物或其他可在晶圓附近促成更高速度及更高紊流的流動擾亂元件。在一些實例中,可在任何流體進入空腔706之前將基板固定器置於移除位置(亦即浸泡位置)。在其它實例中,於基板固定器移動至移除位置中之前將流體提供於基底板704上。剝除溶液透過流動分配器708進入空腔706,並透過出口710而離開空腔706。在各樣的實例中,流體可以約20-50 LPM之間的速率流動。流動分配器708包括C形內部歧管,該內部歧管延伸到位於周緣之該流動分配器的一部份。在一範例中,內部歧管延伸到基板周緣之約120°。此安排使剝除溶液得以在該室的一側進入空腔706、以實質上線性的叉流型態跨越該空腔、然後在出口710離開該室。這在基板面上產生剪切作用。由於基板701在其浸泡於剝除溶液中時正在旋轉,因此線性流動型態於晶圓面被均分,產生優異的材料移除結果。換言之,藉由在晶圓下方創造空間上均勻的對流流場並使晶圓旋轉,每一特徵部及每一晶粒在旋轉及鍍附處理之過程期間展現近乎相同的流動型態。此設置係特別有利於從晶圓正面移除光阻或其它材料,其中處理的均勻性係很重要的。當剝除設備係用以從晶圓的背面移除材料時,均勻性沒有那麼重要且更簡單的移除室可為適當的。
接下來,將基板於剝除溶液中維持浸泡一持續期間的時間。曝露於溶液之持續期間將取決於各樣的因素,其中包括所涉及之化學品、溶液溫度、溶液流率、待剝除材料之厚度及其它幾何特性、移除室之確切幾何形狀、等。在一代表性的實施例中,可使基板保持浸泡直到材料完全或實質上完全被移除。當剝除係執行用以移除犧牲背面層時,可使基板維持浸泡直到犧牲層及晶圓背面上的任何微粒被移除。在一些實施例中,在小於約1分鐘中達成完全的移除。在一些實行例中,待移除材料被完全移除。在其它實行例中,材料被實質上完全移除(換言之,至少99%的材料被移除)。在更其它的實施例中,可移除較少的材料(例如至少25%的材料、至少50%的材料、至少75%的材料、或至少90%的材料)。
在材料移除之後,可將基板移動至清洗位置並加以清洗。清洗溶液可透過清洗噴嘴(未顯示)提供,可酌情將該清洗噴嘴安裝至該移除腔室壁(未顯示)或設備的任何其它部件。在某些實行例中,噴嘴可為靜止不動的,但在其它實行例中,噴嘴可擺動或以其它方式移動至需要的地方。在一些實施例中,可使用複數清洗噴嘴。清洗溶液可為任何適當之溶液,且在某些實施例中為去離子水。清洗操作有助於將剝除溶液自基板表面移除,且亦可幫助移除可能存在之殘留微粒(例如,介電材料的殘留微粒)。接著可將基板乾燥,例如透過以高旋轉速率(例如在約1000-2000 RPM之間)對基板進行旋轉。
清洗及乾燥步驟可發生於移除室700中。替代性地,這些步驟可在分開之清洗及/或乾燥模組中發生。這些模組可做為多工具設備(例如以下於圖8中所示的一者)的一部分而實行。
回到圖7之實施例,可以再循環溶液迴路來提供剝除溶液。容納槽714可用以容納剝除溶液之儲備。容納槽應大到足以容納所需之溶液量。所需溶液量會取決於基板/移除腔室/相關管路之規模。在特定實施例中,容納槽容納約50 L之溶液。
容納槽714可具有操作用以將剝除溶液維持在想要的溫度之反饋迴路(未顯示)、以及溫度控制感測器、及加熱元件716。溶液離開容納槽714並被輸送至泵浦718,該泵浦將流體輸送至叉流入口708。當基板701存在且基板固定器702係在剝除位置中時,基板701與底板704之間形成了狹窄的空腔706。溶液離開叉流入口708、通過空腔706、並於出口710離開。溶液接著流動(在一些實例中越過堰壁,而在其它實例中穿過專用的管路)並通過篩網712。在一些實行例中,篩網712為頗粗的(具有在大概約1 mm之開口)、並操作用以移除從基板表面脫落之材料(例如,介電質)碎片。材料碎片可大概為一公分或數公分。篩網712可位於流動迴路中的不同位置。在一些實例中,篩網712可為流體在到達容納槽714之前穿過之分開的元件(如圖7中所示)。在其它實例中,可將篩網712納入容納槽714中。在一些實例中,使用過濾器而非篩網。
篩網712應進行定期清潔以移除不要的介電或其它材料。一般而言,篩網本身對剝除溶液提供了足夠的清潔。然而,溶液應定期更換、或在洩放與饋送(bleed-and-feed)循環下運作,俾以提供所需之新鮮剝除溶液。在美國專利申請案第13/904283號(申請日期為2013年5月29日,發明名稱為「APPARATUS FOR ADVANCED PACKAGING APPLICATIONS」)中進一步討論了藉由濕式化學品來執行剝除之設備,其內容被完整納入本文中做為參照。
如上面所述,多站處理工具中可包括一或更多的處理站。圖8顯示一多站處理工具800之實施例的示意圖,該多站處理工具具有入站負載鎖室802及出站負載鎖室804,該入站負載鎖室及出站負載鎖室其中任一者或兩者皆可包含遠距電漿源。位於常壓的機械臂806可用以將晶圓從透過晶圓盒(pod)808裝載的晶圓匣中經由氣壓埠810移動至入站負載鎖室802中。藉由機械臂806將晶圓放置在入站負載鎖室802中的底座812上,關閉氣壓埠810,並且將負載鎖室抽空。若入站負載鎖室802包括一遠距電漿源,則晶圓可在被導入處理腔室814前在負載鎖室中暴露於遠距電漿處理。另外,晶圓亦可在入站負載鎖室802中被加熱,例如,以移除濕氣及吸附的氣體。接下來,開啟通往處理腔室814的腔室傳輸埠816,然後另一機械臂(未顯示)將晶圓放置在反應器中之第一站(顯示於反應器中)的底座上以進行處理。雖然圖8中描繪的實施例包括負載鎖室,但吾人應理解,在一些實行例中, 晶圓可直接進入處理站中。
描繪的處理腔室814包含四處理站,在圖8所顯示的實施例中編號為1至4。在各樣的實例中,該等站其中的一或更多者可分離形成獨立的模組。可使用任何數量的模組。該等模組可為如圖4A中所示的背面沉積腔室、如圖5中所示的正面沉積腔室、如圖6中所示的蝕刻腔室、或如圖7中所示的濕處理腔室。可酌情使用其他類型的模組。
每一站具有加熱的底座(對站1而言顯示於818)、及氣體管線入口。吾人應理解在一些實施例中,每一處理站可具有不同或多重的目的。例如,在一些實行例中,一處理站可在ALD模式、CFD模式、及CVD處理模式之間切換。此外或或者,在一些實施例中,處理腔室814可包括一或更多配對的ALD/CFD/CVD處理站。雖然描繪的處理腔室814包含四站,但吾人應了解根據本揭露內容之處理腔室可具有任何合適數量之站。例如,在一些實行例中,一處理腔室可具有五或更多的站,然而在其他實行例中,一處理腔室可具有三或更少的站。
圖8亦描繪了用以在處理腔室814內傳遞晶圓的晶圓搬運系統890之實施例。在一些實行例中,晶圓搬運系統890可在不同處理站/模組之間及/或在處理站與負載鎖室之間傳遞晶圓。吾人應理解,可使用任何合適的晶圓搬運系統。非限制性的範例包括晶圓旋轉料架及晶圓搬運機械臂。圖8亦描繪一系統控制器850之實施例,該系統控制器係用以控制處理工具800的處理條件及硬體狀態。系統控制器850可包括一或更多記憶裝置856、一或更多大量儲存裝置854、及一或更多處理器852。處理器852可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板、等。
在一些實施例中,系統控制器850控制處理工具800的全部活動。系統控制器850執行系統控制軟體858,其中該軟體被儲存於大量儲存裝置854中、載入記憶裝置856中、並在處理器852上執行。系統控制軟體858可包括複數指令,用以控制時序、氣體及液體反應物之混合、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、RF暴露時間、基板底座、卡盤、及/或承受體位置、及由處理工具800執行的特定處理之其他參數。這些程式化的處理可包括各樣類型的處理,其中包括(但不限於)與底塗層沉積有關的處理、與基板上之膜沉積(正面及/或背面沉積)有關的處理、與蝕刻基板有關的處理、與從基板上移除/剝除材料有關的處理、及與腔室清潔有關的處理。可以任何合適的方式配置系統控制軟體858。例如,可撰寫各樣的處理工具元件子程式或控制物件以控制用以實行各樣處理工具之處理所必須的處理工具元件之運作。可以任何合適的電腦可讀程式語言將系統控制軟體858編碼。
在一些實施例中,系統控制軟體858可包括輸入/輸出控制(IOC)序列指令,用以控制上述的各樣參數。例如,調整層之沉積處理其中每一階段可包括一或更多用以被系統控制器850執行的指令。用以為調整層沉積處理階段設定處理條件的指令可被包括在對應的調整層沉積配方階段中。在一些實施例中,可將配方階段依序安排,俾使一處理階段之所有指令與該處理階段同時執行。
在一些實行例中可使用儲存在與系統控制器850聯結的大量儲存裝置854及/或記憶裝置856上的其他電腦軟體及/或程式。用於此目的之程式或程式片段之範例包括晶圓放置程式、處理氣體控制程式、處理液體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板放置程式可包括用於處理工具元件之程式碼,其中該處理工具元件係用以將基板裝載至底座818上並控制基板與處理工具800的其他零件之間的間隔。放置程式可包括用以依需要適當地將基板移進及移出反應腔室以沉積調整層、在基板上沉積膜、及清潔腔室之指令。而這可包括用以確保在調整層之沉積期間內及清潔處理期間內沒有基板存在於反應腔室中的指令。
處理氣體控制程式可包括用以控制氣體成分及流率、並可選性地用以在沉積前將氣體流動進入一或更多處理站以穩定處理站內的壓力之編碼。處理液體控制程式可包括用以控制處理液體成分、及將處理液體(例如剝除溶液) 導入處理模組中之流率的編碼。壓力控制程式可包括藉由調節例如處理站之排氣系統中的節流閥、或進入處理站的氣體流量、等而控制處理站中的壓力之編碼。加熱器控制程式可包括用於控制至用以加熱基板之加熱單元的電流之編碼。此外或或者,加熱器控制程式可控制熱傳氣體(例如氦)至基板的輸送。電漿控制程式可包括用以根據本文中之實施例來設定一或更多處理站中之RF功率位準、頻率、負載比、及暴露時間之編碼。
在一些實施例中,可能具有與系統控制器850聯結的使用者介面。該使用者介面可包括顯示螢幕、設備及/或處理條件的繪圖軟體顯示器、及使用者輸入裝置(例如指標裝置、鍵盤、觸控螢幕、麥克風、等)。
在一些實行例中,藉由系統控制器850調整的參數可能與處理條件有關。非限制性範例包括處理氣體(或液體)成分及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準及暴露時間)、等。可將這些參數以配方的形式提供給使用者,而該配方可利用使用者介面而輸入。
可從各樣的處理工具感測器藉由系統控制器850的類比及/或數位輸入連接而提供用以監控處理的訊號。可在處理工具800的類比及數位輸出連接上輸出用以控制處理的訊號。可監控的處理工具感測器之非限制性範例包括質量流量控制器、壓力感測器(例如壓力計)、熱偶、等。可配合來自這些感測器的數據,使用合適地被程式化之反饋及控制演算法以維持處理條件。
系統控制器850可提供用以實行上述沉積處理的程式指令。該等程式指令可控制各樣的處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。該等指令可根據本文中所述之各樣實施例來控制參數以對膜堆疊的原位沉積進行操作。
系統控制器一般會包括用以執行指令的一或更多記憶裝置及一或更多處理器,俾使設備會執行根據本發明之方法。可將機器可讀的、非暫態的媒體連結至系統控制器,其中該機器可讀的、非暫態的媒體含有用以根據本發明而對處理操作進行控制之指令。
上文中所述之各樣硬體及方法實施例可配合微影圖案化工具或處理而使用,例如用於半導體元件、顯示器、LEDs、太陽光電板、及類似物之製造或加工。一般來說,雖然不是必然,但這樣的工具/處理會在一共同的製造設施中一起使用或進行。
膜的微影圖案化一般包括一些或全部的以下步驟,每一步驟係藉由一些可能的工具而實行,如:(1) 使用旋塗或噴塗工具將光阻施用在工作件(換言之,具有矽氮化物膜形成於其上的基板)上;(2)使用熱盤或加熱爐或其他合適的固化工具將光阻固化;(3)利用例如晶圓步進機這樣的工具來將光阻暴露於可見或UV或X光;(4)使用例如濕式清洗台或噴式顯影機這樣的工具來將光阻顯影以選擇性地移除光阻並藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具來將光阻圖案轉移至下面的膜或工作件中;及(6)使用例如RF或微波電漿光阻剝除器這樣的工具來將光阻移除。在一些實施例中,可於施用光阻之前沉積一可灰化硬遮罩層(例如非晶碳層)及另一適當的硬遮罩(例如抗反射層)。
吾人應理解,在本文中所述之配置及/或手段在本質上為示範性的,且由於眾多變化係屬可能,所以這些特定實施例或範例不應被認為是限制性的。本文中所述之特定流程或方法可代表任何數量之處理策略其中一或更多者。因此,所說明之各樣行動可以所說明之順序、其它順序、或平行地執行,或在一些實例中被省略。相似地,上述處理之次序可改變。
本揭露內容之標的包括了本文中所揭露之各樣處理、系統、及配置、與其它技術特徵、功能、行動、及/或特性之所有新穎及非顯而易見的組合及次組合,以及其任何及所有均等物。
100‧‧‧方法
101‧‧‧步驟
103‧‧‧步驟
105‧‧‧步驟
107‧‧‧步驟
109‧‧‧步驟
150‧‧‧基板
151‧‧‧蝕刻停止層
153‧‧‧交替的氧化物/多晶矽層之堆疊
155‧‧‧應力補償層
157‧‧‧硬遮罩層
159‧‧‧PECVD沉積的氮氧化矽層
201‧‧‧晶圓
202‧‧‧材料堆疊
204‧‧‧背面層
205‧‧‧高度
301‧‧‧基板
302‧‧‧正面沉積物
304‧‧‧犧牲背面層
305‧‧‧微粒
306‧‧‧改質後正面沉積物
307‧‧‧微影改質正面沉積物
400‧‧‧設備
451‧‧‧晶圓
453‧‧‧支撐環
455‧‧‧上電極/頂部表面
457‧‧‧正面間隙
459‧‧‧沉積區域
461‧‧‧距離
463‧‧‧下表面/噴淋頭
465‧‧‧正面入口
500‧‧‧處理站
501‧‧‧反應物輸送系統
502‧‧‧處理腔室主體
503‧‧‧汽化點
504‧‧‧混合容器
506‧‧‧噴淋頭
507‧‧‧微體積
508‧‧‧底座
510‧‧‧加熱器
512‧‧‧基板
514‧‧‧RF電源
516‧‧‧匹配網絡
518‧‧‧蝶形閥
520‧‧‧入口閥
550‧‧‧調整層
600‧‧‧感應耦合電漿蝕刻設備
601‧‧‧腔室壁
602‧‧‧上子腔室
603‧‧‧下子腔室
611‧‧‧窗口
617‧‧‧卡盤
619‧‧‧晶圓
621‧‧‧匹配電路
623‧‧‧RF電源
625‧‧‧連線
627‧‧‧連線
633‧‧‧線圈
639‧‧‧匹配電路
641‧‧‧RF電源
643‧‧‧連線
645‧‧‧連線
649‧‧‧法拉第屏蔽
650‧‧‧電漿格柵
660‧‧‧噴射埠
670‧‧‧噴射埠
700‧‧‧移除室
701‧‧‧基板
702‧‧‧基板固定器
704‧‧‧底板
706‧‧‧空腔
708‧‧‧流動分配器
710‧‧‧出口
712‧‧‧篩網
714‧‧‧容納槽
716‧‧‧加熱元件
718‧‧‧泵浦
720‧‧‧間隙
800‧‧‧多站處理工具
802‧‧‧入站負載鎖室
804‧‧‧出站負載鎖室
806‧‧‧機械臂
808‧‧‧晶圓盒
810‧‧‧氣壓埠
812‧‧‧底座
814‧‧‧處理腔室
816‧‧‧腔室傳輸埠
818‧‧‧底座
850‧‧‧系統控制器
852‧‧‧處理器
854‧‧‧大量儲存裝置
856‧‧‧記憶裝置
858‧‧‧系統控制軟體
890‧‧‧基板搬運系統
圖1A呈現了在晶圓背面上沉積膜之方法的流程圖。
根據某些實施例,圖1B繪示了具有正面應力補償層之基板的橫剖面圖。
圖2A繪示了翹曲的晶圓,該翹曲的晶圓其上有沉積材料之堆疊。
圖2B繪示了圖2A中所顯示之晶圓(在晶圓背面上沉積了一層材料之後)。
根據某些使用犧牲背面層的實施例,圖3A及3B描繪了半導體基板的一部分在製造期間內的不同時間點之橫剖面圖。
根據某些實施例,圖4A顯示了可用以在晶圓背面沉積材料之反應腔室的簡化視圖。
圖4B顯示了圖4A中所示之背面沉積設備的一部分之特寫圖。
根據某些實施例,圖5描繪了可用以在晶圓正面上沉積材料的反應腔室之簡化橫剖面圖。
圖6繪示了可於一些實施例中使用之蝕刻腔室的簡化橫剖面圖。
圖7描繪了可於某些實施例中使用之剝除/移除腔室的簡化橫剖面圖。
根據某些實施例,圖8顯示了可用以於晶圓的正面及背面上沉積材料及蝕刻晶圓的多工具設備之俯視圖。
100‧‧‧方法
101‧‧‧步驟
103‧‧‧步驟
105‧‧‧步驟
107‧‧‧步驟
109‧‧‧步驟

Claims (21)

  1. 一種在基板的背面上沉積膜的方法,包含: 將包含一正面及一背面的一基板提供至一沉積反應器,該基板的該正面包含一有效區域,該有效區域包含正面沉積的材料; 將該基板固定在該沉積反應器中,使得該基板之該正面上的該有效區域不接觸該沉積反應器的任何部分;及 在該基板的該背面上沉積該膜而沒有在該基板的該正面上沉積膜。
  2. 如申請專利範圍第1項之在基板的背面上沉積膜的方法,其中該將該基板固定在該沉積反應器中之步驟包含將該基板裝載至該沉積反應器中的一支撐結構中或上,其中該基板係裝載為使得該基板之該正面上的該有效區域暴露於一正面間隙、且該基板之該背面實質上暴露於一沉積區域。
  3. 如申請專利範圍第2項之在基板的背面上沉積膜的方法,更包含使惰性氣體流動通過該正面間隙。
  4. 如申請專利範圍第1項之在基板的背面上沉積膜的方法,其中沉積在該基板之該背面上的該膜為一介電膜。
  5. 如申請專利範圍第1至3項其中任一項之在基板的背面上沉積膜的方法,其中該正面沉積的材料導致了該基板之翹曲,且其中該在該基板的該背面上沉積該膜之步驟包含將該膜沉積至足以使該基板之翹曲減少至實質上等於或小於150µm的翹曲高度之厚度。
  6. 如申請專利範圍第5項之在基板的背面上沉積膜的方法,其中沉積在該基板的該背面上的該膜之厚度小於2 µm、且低於該正面沉積的材料之厚度。
  7. 如申請專利範圍第1至3項其中任一項之在基板的背面上沉積膜的方法,其中沉積在該基板的該背面上的該膜為一犧牲層。
  8. 如申請專利範圍第7項之在基板的背面上沉積膜的方法,更包含: 於該在該基板的該背面上沉積該膜之步驟之後,透過選自由蝕刻、沉積、離子植入、電漿清潔、及濕式清潔所組成之群組的一或更多處理對該基板的該正面進行進一步處理 ,其中該對該基板的該正面進行進一步處理之步驟導致微粒形成於該膜上;及 移除該膜,其中該移除該膜之步驟亦移除了該膜上之微粒。
  9. 如申請專利範圍第8項之在基板的背面上沉積膜的方法,更包含於該膜被移除之後在該基板上執行微影。
  10. 如申請專利範圍第7項之在基板的背面上沉積膜的方法,更包含: 於該在該基板的該背面上沉積該膜之步驟之前,透過選自由蝕刻、沉積、離子植入、電漿清潔、及濕式清潔所組成之群組的一或更多處理對該基板的該正面進行處理,其中該對該基板的該正面進行處理之步驟導致微粒形成於該基板的該背面上; 其中該在該基板的該背面上沉積該膜之步驟使得在該基板的該背面上的微粒被該膜所覆蓋; 於該膜存在於該基板的該背面上時執行微影;及 於該執行微影的步驟之後將該膜從該基板的該背面移除。
  11. 如申請專利範圍第1-3項其中任一項之在基板的背面上沉積膜的方法,其中該基板係固定在該沉積反應器中,使得該基板的整個正面不接觸該沉積反應器的任何部分。
  12. 如申請專利範圍第1-3項其中任一項之在基板的背面上沉積膜的方法,其中該基板為3D-NAND元件之半成品。
  13. 一種在基板的背面上沉積膜的設備,包含: 一反應腔室; 該反應腔室中的一基板支撐機構,用以在該基板之周緣或周緣附近支撐該基板,使得該基板的一正面上的一有效區域不接觸該反應腔室的任何部分,並使得該基板的一背面實質上暴露出來; 一沉積區域,界定於該基板存在時該基板的該背面之一側上; 一正面間隙,界定於該基板存在時該基板的該正面之一側上; 一頂面入口,用以提供氣體至該正面間隙;及 一沉積區域入口,用以提供氣體至該沉積區域。
  14. 如申請專利範圍第13項之在基板的背面上沉積膜的設備,更包含一控制器,該控制器包含用以在該基板的該背面上沉積該膜而沒有在該基板的該正面上沉積膜之指令。
  15. 如申請專利範圍第13項之在基板的背面上沉積膜的設備,其中該正面間隙具有實質上等於或小於0.5mm之高度。
  16. 如申請專利範圍第13-15項其中任一項之在基板的背面上沉積膜的設備,其中該頂面入口之位置係設計用以於該基板存在時在該基板的該正面之中央附近輸送氣體,使得該氣體從該基板之中央向外流過該基板的該正面。
  17. 如申請專利範圍第13-15項其中任一項之在基板的背面上沉積膜的設備,其中該反應腔室的一或更多零件為可動式的,使得該正面間隙之高度、及/或該沉積區域之高度可以改變。
  18. 如申請專利範圍第13-15項其中任一項之在基板的背面上沉積膜的設備,其中該基板支撐機構包含一支撐環。
  19. 如申請專利範圍第13-15項其中任一項之在基板的背面上沉積膜的設備,其中該基板支撐機構係用以支撐該基板,使得該基板的整個正面不接觸該沉積反應器的任何部分。
  20. 一種多工具半導體處理設備,包含: 一正面模組,用以在一基板的一正面上沉積材料; 一背面模組,用以在該基板的一背面上沉積材料而沒有實質上接觸該基板的該正面上的一有效區域,其中該背面模組係用以輸送氣相反應物;及 一控制器,包含用以將材料沉積在該正面模組中的該基板的該正面上、將該基板傳遞至該背面模組、及將材料沉積在該基板的該背面上而沒有同時於該基板的該正面上沉積膜之指令。
  21. 如申請專利範圍第20項之多工具半導體處理設備,更包含用以蝕刻該基板的一蝕刻模組。
TW104115987A 2014-05-22 2015-05-20 背面沉積設備及方法 TWI656234B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/285,544 US9881788B2 (en) 2014-05-22 2014-05-22 Back side deposition apparatus and applications
US14/285,544 2014-05-22

Publications (2)

Publication Number Publication Date
TW201608053A true TW201608053A (zh) 2016-03-01
TWI656234B TWI656234B (zh) 2019-04-11

Family

ID=54556574

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104115987A TWI656234B (zh) 2014-05-22 2015-05-20 背面沉積設備及方法

Country Status (4)

Country Link
US (1) US9881788B2 (zh)
KR (4) KR102379334B1 (zh)
CN (1) CN105088177B (zh)
TW (1) TWI656234B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803960B (zh) * 2021-03-10 2023-06-01 台灣積體電路製造股份有限公司 形成半導體元件的方法
TWI832722B (zh) * 2017-08-31 2024-02-11 美商蘭姆研究公司 用於在基板選擇側沉積的pecvd沉積系統

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160042968A1 (en) * 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10818611B2 (en) * 2015-07-01 2020-10-27 Ii-Vi Delaware, Inc. Stress relief in semiconductor wafers
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9870917B2 (en) * 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
JP7289031B2 (ja) 2017-07-28 2023-06-09 東京エレクトロン株式会社 基板の裏面堆積のシステム及び方法
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR20200067218A (ko) * 2017-10-31 2020-06-11 램 리써치 코포레이션 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019162041A1 (en) 2018-02-26 2019-08-29 Evatec Ag Stabilizing stress in a layer with respect to thermal loading
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102658921B1 (ko) * 2018-03-30 2024-04-18 삼성전자주식회사 반도체 기판의 비활성면 상에 박막을 형성하는 장치 및 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068254A1 (en) 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
KR20230150404A (ko) * 2018-09-28 2023-10-30 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
KR20200080460A (ko) * 2018-12-26 2020-07-07 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366145A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 具有可调式气体出口的喷头
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020226855A1 (en) * 2019-05-03 2020-11-12 Applied Materials, Inc. Method and apparatus for backside physical vapor deposition
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR102185623B1 (ko) * 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11572618B2 (en) 2019-08-27 2023-02-07 Applied Materials, Inc. Method and chamber for backside physical vapor deposition
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7233348B2 (ja) 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN114729466A (zh) * 2019-11-27 2022-07-08 朗姆研究公司 用于穿透抗蚀剂镀覆的边缘去除
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US20230032481A1 (en) * 2020-01-03 2023-02-02 Lam Research Corporation Station-to-station control of backside bow compensation deposition
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
US20230167552A1 (en) * 2020-04-28 2023-06-01 Lam Research Corporation Showerhead designs for controlling deposition on wafer bevel/edge
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
PL3907149T3 (pl) 2020-05-08 2022-12-19 The Procter & Gamble Company Pojemnik na produkt detergentowy z blokadą
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN115989573A (zh) * 2020-06-25 2023-04-18 朗姆研究公司 具有用于背面处理的不同站支持特征的多站处理工具
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3936451B1 (en) 2020-07-09 2024-07-17 The Procter & Gamble Company Detergent product container
EP3936450A1 (en) 2020-07-09 2022-01-12 The Procter & Gamble Company Cardboard support element
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11830778B2 (en) 2020-11-12 2023-11-28 International Business Machines Corporation Back-side wafer modification
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114686858B (zh) * 2020-12-30 2024-03-12 中微半导体设备(上海)股份有限公司 一种薄膜生长系统以及基片托盘和载环组件
CN113066755B (zh) * 2021-03-23 2023-06-13 西安微电子技术研究所 一种芯片背面金属化夹具及芯片背面金属化方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
EP4238876A1 (en) 2022-01-14 2023-09-06 The Procter & Gamble Company Process for forming a hood for a tray
WO2024030382A1 (en) * 2022-08-05 2024-02-08 Lam Research Corporation Reducing thermal bow shift
WO2024072609A1 (en) * 2022-09-28 2024-04-04 Applied Materials, Inc. Correction of global curvature during stress management
KR102670080B1 (ko) * 2023-08-09 2024-05-28 (주)이지서티 데이터 재식별 가능성 감소를 위한 데이터 처리 방법

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
DE19622402C1 (de) * 1996-06-04 1997-10-16 Siemens Ag Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung
US6051501A (en) 1996-10-09 2000-04-18 Micron Technology, Inc. Method of reducing overetch during the formation of a semiconductor device
JP3612158B2 (ja) 1996-11-18 2005-01-19 スピードファム株式会社 プラズマエッチング方法及びその装置
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6046097A (en) 1999-03-23 2000-04-04 United Microelectronics Corp. Deposition method with improved step coverage
JP4545955B2 (ja) * 2001-01-10 2010-09-15 ルネサスエレクトロニクス株式会社 半導体製造装置及び半導体装置の製造方法
US20020179247A1 (en) 2001-06-04 2002-12-05 Davis Matthew F. Nozzle for introduction of reactive species in remote plasma cleaning applications
JP2003027242A (ja) * 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
KR20040047874A (ko) 2001-09-29 2004-06-05 크리, 인코포레이티드 반전(反轉)된 화학 증착(cvd)용 장치
JP2003115483A (ja) * 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
JP2003168645A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体薄膜装置、その製造方法及び画像表示装置
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040137745A1 (en) 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
JP4413084B2 (ja) * 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US6838355B1 (en) 2003-08-04 2005-01-04 International Business Machines Corporation Damascene interconnect structures including etchback for low-k dielectric materials
US20070110895A1 (en) * 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
CN101389415A (zh) 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
KR101432561B1 (ko) * 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
CN101358337B (zh) * 2008-09-25 2010-08-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
DE102009020436A1 (de) * 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
EP2251897B1 (en) * 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
JP4969607B2 (ja) * 2009-05-25 2012-07-04 シャープ株式会社 半導体積層構造体の製造方法
US8334017B2 (en) * 2009-09-18 2012-12-18 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8980767B2 (en) * 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI832722B (zh) * 2017-08-31 2024-02-11 美商蘭姆研究公司 用於在基板選擇側沉積的pecvd沉積系統
TWI803960B (zh) * 2021-03-10 2023-06-01 台灣積體電路製造股份有限公司 形成半導體元件的方法
US11935746B2 (en) 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures

Also Published As

Publication number Publication date
KR20150139774A (ko) 2015-12-14
KR102548630B1 (ko) 2023-06-27
US9881788B2 (en) 2018-01-30
KR20220106093A (ko) 2022-07-28
KR20230162912A (ko) 2023-11-29
CN105088177B (zh) 2018-09-21
CN105088177A (zh) 2015-11-25
KR20220041810A (ko) 2022-04-01
KR102379334B1 (ko) 2022-03-25
US20150340225A1 (en) 2015-11-26
TWI656234B (zh) 2019-04-11

Similar Documents

Publication Publication Date Title
TWI656234B (zh) 背面沉積設備及方法
US10903071B2 (en) Selective deposition of silicon oxide
KR102366249B1 (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
TWI609426B (zh) 在基板上形成氮化矽膜之方法、設備及系統
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
US20210395885A1 (en) Throughput improvement with interval conditioning purging
TWI794318B (zh) 增加反應器處理批量大小的方法和設備