CN110029325B - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
CN110029325B
CN110029325B CN201910022599.1A CN201910022599A CN110029325B CN 110029325 B CN110029325 B CN 110029325B CN 201910022599 A CN201910022599 A CN 201910022599A CN 110029325 B CN110029325 B CN 110029325B
Authority
CN
China
Prior art keywords
gas
processing
substrate
film
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910022599.1A
Other languages
English (en)
Other versions
CN110029325A (zh
Inventor
木原嘉英
横山乔大
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN110029325A publication Critical patent/CN110029325A/zh
Application granted granted Critical
Publication of CN110029325B publication Critical patent/CN110029325B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提供一种在形成于被处理基片上的图案上成膜的成膜方法,其中,该被处理基片被配置在载置台上,所述载置台设置在能够在减压环境下进行等离子体处理的空间内,在该空间中配置有与该载置台相对的能够被供给高频电力的上部电极。该成膜方法在对被处理基片的主面的多个区域中的每个区域执行了调节该被处理基片的所述主面的温度的步骤之后,反复执行包括第一步骤和第二步骤的流程,即:第一步骤,在被处理基片的所述图案上形成沉积膜;第二步骤,仅对上部电极供给电力来在空间中产生等离子体,从而对该空间进行清扫。由此,不论进行成膜的等离子体处理的进展程度如何,都能够使被处理基片上的膜的形成方式相同。

Description

成膜方法
技术领域
本发明的实施方式涉及一种成膜方法。
背景技术
由于伴随电子器件的高集成化而来的微小化,在形成被处理基片上的图案时,要求控制高精度的最小线宽(CD:Critical Dimension,临界尺寸)。作为等离子体蚀刻中的最小线宽改变的主要原因,一般而言,能够举出如下原因:露出于生成有等离子体的处理空间中的等离子体处理装置的构成部件(例如,产生等离子体的处理容器的内壁面或与处理容器连接的各种配管的内壁面等)的表面的状态发生变化。针对这样的等离子体处理装置的构成部件表面的状态变化,人们提出了各种应对的技术(例如参照专利文献1~3)。
现有技术文献
专利文献
专利文献1:日本特开2016-072625号公报
专利文献2:日本特开2014-053644号公报
专利文献3:日本特开2017-073535号公报。
发明内容
发明要解决的技术问题
在等离子体处理中,处理容器(腔室)内的环境根据等离子体处理的进展而变化,由该环境的变化引起的被处理基片(晶片)的等离子体处理的效果也会变化。例如,在对被处理基片进行成膜的情况下,存在不仅能够对被处理基片形成膜,对处理容器的内壁面也能够形成膜的情况。随着进行成膜的等离子体处理的进展而在处理容器的内壁面形成膜,随之在膜的形成中使用的气体中被吸附于内壁面的部分所占的比例也会变化,伴随该变化,气体中能够到达被处理基片的部分所占的比例也会变化,因此,随着等离子体处理的进展,对被处理基片形成膜的方式也会变化。因此,期望一种不论进行成膜的等离子体处理的进展的程度如何,都能够使对被处理基片形成膜的方式相同的技术。
用于解决问题的技术手段
在一方式中,能够提供一种在形成于被处理基片上的图案上成膜的成膜方法。
该被处理基片被配置在载置台上,所述载置台设置在能够在减压环境下进行等离子体处理的空间内,在该空间中配置有与该载置台相对的能够被供给高频电力的上部电极。该成膜方法在对被处理基片的主面的多个区域中的每一个区域执行了调节该被处理基片的主面的温度的温度调节步骤之后,反复执行包括以下步骤的流程,即:第一步骤,在被处理基片的所述图案上形成沉积膜;和第二步骤,仅向上部电极供给电力来在所述空间中产生等离子体,从而对该空间进行清扫。
由于被处理基片的主面的温度而在主面形成的膜的厚度能够变化。然而,在不进行清扫而反复形成沉积膜的情况下,在反复形成沉积膜的期间,与被处理基片的主面的温度对应的膜厚的变化的程度降低,因此,不能发生能够有效地进行被处理基片的主面的温度调节的情况。而在上述成膜方法中,在对被处理基片的主面进行了温度调节后进行沉积膜的形成,每当进行沉积膜的形成,也进行清扫,因此即使反复形成沉积膜,被处理基片的主面的温度调节对于被处理基片的主面上的沉积膜的厚度的控制也能够非常有效地发挥功能。
在一实施方式中,温度调节步骤使用预先获取的表示主面的温度与沉积膜的膜厚的对应关系的对应数据,对多个区域的每一个区域调节该主面的温度。如此,由于使用预先获得的对应数据,因此能够更正确且再现性良好地执行被处理基片的主面的温度的调节。
在一实施方式中,第一步骤包括:向空间供给包含前体的材料的第一气体,使该前体吸附于图案的表面的步骤;和产生第二气体的等离子体,并将该等离子体供给到前体的步骤。如此,在形成沉积膜的第一步骤中,首先,由包含前体的材料的第一气体使前体吸附于被处理基片的图案的表面,之后,通过对该前体供给第二气体的等离子体,在被处理基片的图案的表面形成沉积膜。因此,能够通过与ALD法(ALD:Atomic Layer Deposition,原子层淀积)相同的方法,在被处理基片的图案的表面形成沉积膜。
在一实施方式中,第一气体是氨基硅烷类气体,第二气体含有氧或氮。另外,在第二步骤中,在空间中产生第三气体的等离子体,第三气体包含卤化物。
在一实施方式中,作为第一气体的氨基硅烷类气体包含具有1~3个硅原子的氨基硅烷。另外,在一实施方式中,作为第一气体的氨基硅烷类气体可以包含具有1~3个氨基的氨基硅烷。
在一实施方式中,第一气体包含卤化钨。另外,在一实施方式中,第一气体包含四氯化钛或者四(二甲氨基)钛。另外,在一实施方式中,第一气体包含卤化硼。
在一实施方式中,第一步骤(下面,称为步骤a)包括:向空间供给包含供电子性的第一取代基的第一气体(下面,在步骤a中使用的情况下,称为气体a1),使第一取代基吸附于图案的表面的步骤;和向第一取代基供给包含吸电子性的第二取代基的第二气体(下面,在步骤a使用的情况下,称为气体a2)的步骤。如此,在形成沉积膜的步骤a中,首先,利用包含供电子性的第一取代基的气体a1在被处理基片的图案的表面吸附第一取代基,之后,通过对该第一取代基供给包含吸电子性的第二取代基的气体a2,而发生聚合反应,通过该聚合反应能够在被处理基片的图案的表面形成沉积膜。
在一实施方式中,上述的步骤a通过异氰酸酯与胺的聚合反应或者异氰酸酯与具有羟基的化合物的聚合反应,来生成沉积膜。
发明效果
如以上说明的那样,能够提供一种不论进行成膜的等离子体处理的进展程度如何都能够使被处理基片上的膜的形成方式相同的技术。
附图说明
图1表示一实施方式的处理被处理基片的方法的流程图。
图2是图1所示的方法的执行中使用的一实施方式的等离子体处理装置的一例的图。
图3是作为一例,示意性地表示一实施方式的处理被处理基片的方法中被划分的被处理基片的主面的多个区域的一部分的图。
图4是表示具有(a)部、(b)部、(c)部、(d)部的图1所示的各步骤实施前和实施后的被处理基片的状态的截面图。
图5是表示具有(a)部、(b)部、(c)部的图1所示的方法的各步骤的实施后的被处理基片的状态的截面图。
图6是表示执行图1所示的方法的各步骤中气体的供给和高频电源的供给的状态的图。
图7是示意性地表示具有(a)部、(b)部、(c)部的图1所示的方法中形成保护膜的情况的图。
图8是示意性地表示通过图1所示的方法形成的保护膜的膜厚与被处理基片的主面的温度的关系的图。
图9是表示具有(a)部、(b)部、(c)部的图1所示的方法中的被蚀刻层的蚀刻的原理的图。
图10是表示图2所示的在处理容器的内侧形成膜的方式的图。
图11是表示图1所示的清扫步骤的执行时间或者图1所示的清扫步骤中使用的高频电力,与由于清扫而膜残余的厚度的相互关系的图。
图12是表示图2所示的处理容器内的位置与等离子体密度的相互关系的图。
图13是表示图2所示的处理容器内的位置与等离子体密度的相互关系的图。
图14是表示处理容器内的前体的消耗比例与ALD(ALD:Atomic LayerDeposition,原子层沉积)的循环数的相互关系的图。
图15是表示含有前体材料的气体在被吸附表面的流量(分压)与ALD的循环数的相互关系的图。
图16是表示含有前体材料的气体的被吸附表面的流量(分压)与被吸附表面的前体的吸附量的相互关系的温度依赖性的图。
图17是比较在ALD的各循环中进行清扫的情况下的DPC与没有进行清扫的情况下的DPC的图。
图18是表示未进行清扫的处理容器内的ALD的循环数与DPC的相互关系的图。
图19是表示进行了清扫的处理容器内的ALD的循环数与DPC的相互关系的图。
图20是表示每一片被处理基片的处理时间的详情的图。
图21是表示每一片被处理基片的薄膜形成步骤的反复次数与处理时间的相互关系的图。
图22是气体供给系统的概要图。
图23是使用了图22所示的气体供给系统的情况下的上部电极的概略截面图。
附图标记说明
1…气体供给系统,10…等离子体处理装置,12…处理容器,12e…排气口,12g…送入送出口,14…支承部,22…直流电源,23…开关,24…制冷剂流路,26a…配管,26b…配管,30…上部电极,32…绝缘性遮挡部件,34…电极板,34a…气体排出孔,34b…气体排出孔,36…电极支承体,36a…气体扩散室,36b…气体通流孔,36c…气体导入口,38…气体供给管,40…气源组,42…阀组,45…流量控制器组,46…防护罩,48…排气板,50…排气装置,51…排气装置,52…排气管,52a…气体导入口,54…闸阀,62…第一高频电源,64…第二高频电源,66…匹配器,68…匹配器,70…电源,74…封固部件,82…气体供给管,AL…防反射膜,ALM…掩模,C1…控制电路,Cnt…控制部,CON1…状态,CON2…状态,CON3…状态,DV1…隔膜阀,DV2…隔膜阀,DV3…隔膜阀,DV4…隔膜阀,EK…排气流路,EL…被蚀刻层,ER…区域,ESC…静电吸盘,FC…压力式流量控制装置,FR…聚焦环,GS1…第一气源,GS2…第二气源,HP…加热器电源,HT…温度调节部,IN1…供给口,K1…区域,K2…区域,IN4…供给口,L1…第一流路,L10…第一主流路,L2…第二流路,L20…第二主流路,LE…下部电极,Ly1…层,Ly2…层,MK1…掩模,MK2…掩模,MS…掩模,MT…方法,MX…混合层,OK1…节流孔,OK2…节流孔,OK3…节流孔,OK4…节流孔,OKEx…排气用节流孔,OL…有机膜,OLM…掩模,OT1…排气口,P1…等离子体,PD…载置台,PF…保护膜,PM1…第一压力检测器,PM2…第二压力检测器,Q1a…区域,Q1b…区域,Q2…区域,R11…区域,R21…区域,R31…区域,SB…基片,Sp…处理空间,SX…保护膜,SXa…薄膜,TM…温度检测器,U1…单元,VL1…控制阀,VL4…一次阀,VL5…二次阀,W…晶片。
具体实施方式
下面,参照附图,对各种实施方式进行详细说明。此外,在各附图中,对于相同或者相当的部分,标注相同的附图标记。图1是表示一实施方式的处理被处理基片(下面,有时称为晶片W)的方法的流程图。图1所示的方法MT是使被处理基片成膜的成膜方法的一实施方式。方法MT(处理被处理基片的方法)由图2所示的等离子体处理装置10执行。
图2是表示图1所示的方法MT的执行中所使用的一实施方式的等离子体处理装置的一例的图。图2中,概要地表示了在方法MT的各种实施方式中能够利用的等离子体处理装置10的截面结构。如图2所示,等离子体处理装置10为具有平行平板电极的等离子体蚀刻装置,具有处理容器12。
处理容器12具有例如大致圆筒形状,划分有处理空间Sp。处理容器12具有例如铝材料,在处理容器12的内壁面实施了阳极氧化处理。处理容器12安全地接地。
在处理容器12的底部上设置有例如大致圆筒状的支承部14。支承部14包括例如绝缘材料。支承部14的绝缘材料能够如石英那样含有氧。支承部14在处理容器12内,从处理容器12的底部在铅直方向(从底部向顶部侧的上部电极30去的方向)延伸。
在处理容器12内设置有载置台PD。载置台PD由支承部14支承。载置台PD中,在载置台PD的上表面保持晶片W。晶片W的主面位于与载置台PD的上表面接触的晶片W的背面的相反侧,朝向上部电极30。载置台PD具有下部电极LE和静电吸盘ESC。下部电极LE包括第一板18a和第二板18b。
第一板18a和第二板18b包括例如铝等金属材料,具有例如大致圆盘形状。第二板18b设置于第一板18a上,与第一板18a电连接。
在第二板18b上设置有静电吸盘ESC。静电吸盘ESC具有在一对绝缘层之间或者一对绝缘片之间配置有作为导电膜的电极的结构。静电吸盘ESC的电极经由开关23与直流电源22电连接。晶片W在其载置于载置台PD的情况下与静电吸盘ESC接触。
晶片W的背面(主面的相反侧的面)与静电吸盘ESC接触。静电吸盘ESC通过由来自直流电源22的直流电压产生的库仑力等静电力来吸附晶片W。由此,静电吸盘ESC能够保持晶片W。
在第二板18b的周缘部上,以包围晶片W的边缘和静电吸盘ESC的方式配置有聚焦环FR。聚焦环FR是为了提高蚀刻的均匀性而设置的。聚焦环FR包括根据作为蚀刻对象的膜的材料来适当选择的材料,可以包括例如石英材料。
在等离子体处理装置10设置有用于调节晶片W的温度的温度调节部HT。温度调节部HT内设于静电吸盘ESC中。温度调节部HT与加热器电源HP连接。通过从加热器电源HP向温度调节部HT供给电力,能够调节静电吸盘ESC的温度,并能够调节载置在静电吸盘ESC上的晶片W的温度。此外,温度调节部HT也能够埋设在第二板18b内。
温度调节部HT包括用于发热的多个加热元件和分别检测该多个加热元件各自周围的温度的多个温度传感器。在晶片W位置匹配地载置在静电吸盘ESC上的情况下,如图3所示,多个加热元件分别设置在晶片W的主面的多个区域ER(多个区域)的每一个区域中。图3是将在方法MT中被划分的晶片W的主面的多个区域ER的一部分作为一例来示意性地表示的图。在晶片W位置匹配地载置于静电吸盘ESC上时,后述的控制部Cnt以区域ER关联地识别与晶片W的主面的多个区域ER各自对应的加热元件和温度传感器。控制部Cnt能够通过例如数字或文字等编号等,按多个区域ER中的每个区域来识别区域ER和与区域ER对应的加热元件和温度传感器。控制部Cnt利用设置于与一个区域ER对应的部位的温度传感器来检测该一个区域ER的温度,利用设置于与该一个区域ER对应的部位的加热元件来对该一个区域ER进行温度调节。此外,在晶片W载置于静电吸盘ESC上的情况下,由一个温度传感器检测的温度与晶片W中该温度传感器上的区域ER的温度相同。
在第二板18b的内部设置有制冷剂流路24。制冷剂流路24构成温度调节机构。从设置于处理容器12的外部的冷却装置(图示略)经由配管26a向制冷剂流路24供给制冷剂。供给到制冷剂流路24的制冷剂经由配管26b返回到冷却装置。如此,制冷剂以循环的方式被供给到制冷剂流路24。通过控制该制冷剂的温度,能够控制由静电吸盘ESC支承的晶片W的温度。在等离子体处理装置10设置有气体供给线路28。气体供给线路28能够将来自导热气体供给机构的导热气体(例如He气体)供给到静电吸盘ESC的上表面与晶片W的背面之间。
等离子体处理装置10具有上部电极30。上部电极30设置于处理容器12内的顶部侧(处理容器12内的设置支承部14的一侧的相反侧)。上部电极30在载置台PD的上方与载置台PD相对配置。
下部电极LE与上部电极30彼此大致平行地设置,构成平行平板电极。在上部电极30与下部电极LE之间,提供有用于对晶片W进行等离子体处理的处理空间Sp。上部电极30由绝缘性遮挡部件32支承于处理容器12的上部。绝缘性遮挡部件32具有绝缘材料的材料,例如可以如石英那样含有氧。上部电极30可以包括电极板34和电极支承体36。电极板34面对处理空间Sp,在电极板34设置有多个气体排出孔34a。
电极板34在一实施方式中含有硅(Silicon)。在另一实施方式中,电极板34可以含有氧化硅(SiO2)。
电极支承体36是能够可拆装地支承电极板34的部件,可以含有例如铝等的导电性材料。电极支承体36可以具有水冷结构。在电极支承体36的内部设置有气体扩散室36a。与气体排出孔34a连通的多个气体通流孔36b从气体扩散室36a延伸到下方。
等离子体处理装置10具有第一高频电源62和第二高频电源64。第一高频电源62是用于产生等离子体生成用的第一高频电力的电源,产生27~100[MHz]的频率,在一例中为60[MHz]的高频电力。另外,第一高频电源62具有脉冲规格,例如能够以0.1~50[kHz]的频率、5~100%的占空比进行控制。
第一高频电源62经由匹配器66与上部电极30连接。匹配器66是用于使第一高频电源62的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。此外,也可以为第一高频电源62经由匹配器66与下部电极LE连接。
第二高频电源64是产生用于将离子引入到晶片W的第二高频电力,即高频偏置电力的电源,产生400[kHz]~40.68[MHz]范围内的频率,在一例中为13.56[MHz]的频率的高频偏置电力。另外,第二高频电源64具有脉冲规格,例如能够以0.1~50[kHz]的频率、5~100%的占空比进行控制。
第二高频电源64经由匹配器68与下部电极LE连接。匹配器68是用于使第二高频电源64的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。
等离子体处理装置10还包括电源70。电源70与上部电极30连接。电源70对上部电极30施加用于将存在于处理空间Sp内的正离子引入电极板34的电压。在一例中,电源70是用于产生负的直流电压的直流电源。当这样的电压从电源70被施加到上部电极30时,存在于处理空间Sp的正离子冲撞电极板34。由此,能够从电极板34释放二次电子和/或硅。
在处理容器12内的底部侧(为处理容器12内的顶部侧的相反侧,处理容器12内的设置支承部14的一侧)以及支承部14与处理容器12的侧壁之间设置有排气板48。排气板48例如能够在铝材上覆盖有Y2O3等的陶瓷。在排气板48的下方且处理容器12中设置有排气口12e。
排气装置50经由排气管52与排气口12e连接。排气装置50具有例如涡轮分子泵等的真空泵,能够将处理容器12的处理空间Sp内的空间减压到希望的真空度。在处理容器12的侧壁设置有晶片W的送入送出口12g,送入送出口12g能够由闸阀54来进行开闭。
在等离子体处理装置10中,如后述那样由于要供给含有机成分的氨基硅烷类气体,因此等离子体处理装置10具有使供给含有机成分的氨基硅烷类气体的配管与供给其他处理气体(例如氧气)的配管分离的后混合构造。含有机成分的氨基硅烷类气体的反应性比较高,在由同一配管来进行含有机成分的氨基硅烷类气体的供给和其他处理气体的供给的情况下,存在吸附于配管内的含有机成分的氨基硅烷类气体的成分与其他处理气体的成分反应,由该反应生成的反应生成物沉积在配管内的情形。
沉积于配管内的反应生成物难以通过清扫等来除去,可能成为颗粒的原因和配管的位置靠近等离子体区域时异常放电的原因。因此,需要分别通过不同的配管来进行含有机成分的氨基硅烷类气体的供给和其他处理气体的供给。通过等离子体处理装置10的后混合构造,能够分别由不同的配管来进行含有机成分的氨基硅烷类气体的供给和其他处理气体的供给。
等离子体处理装置10的后混合构造至少具有两个配管(气体供给管38、气体供给管82)。气体供给管38和气体供给管82均经由阀组42和流量控制器组45与气源组40。
气源组40具有多个气源。多个气源可以包括含有机成分的氨基硅烷类气体(例如气体G1所包含的气体)的源、碳氟化合物类气体(CxFy气体(x、y为1~10的整数))(例如在步骤ST3和步骤ST7中使用的气体以及气体G4所包含的气体)的源、含有氧原子的气体(氧气等)(例如气体G2所包含的气体)的源、包含氟原子的气体(例如气体G3所包含的气体)的源、包含氮原子を的气体(例如在步骤ST8中使用的气体)的源、包含氢原子的气体(例如在步骤ST8中使用的气体)的源和Ar气体(例如气体G5所包含的气体、吹扫气体和防逆流气体)等不活泼气体的源等各种气体的源。
作为含有机成分的氨基硅烷类气体,能够使用具有氨基的数量比较少的分子结构的气体,例如可以使用一氨基硅烷(H3-Si-R(R为含有有机成分并可置换的优良氨基))。上述的含有机成分的氨基硅烷类气体(后述的气体G1所包含的气体)能够包含可具有1~3个硅原子的氨基硅烷或者能够包含具有1~3个氨基的氨基硅烷。
具有1~3个硅原子的氨基硅烷可以为具有1~3个氨基的甲硅烷(一氨基硅烷)、具有1~3个氨基的乙硅烷或者具有1~3个氨基的丙硅烷。另外,上述的氨基硅烷可以具有也能够被置换的氨基。另外,上述的氨基能够被甲基、乙基、丙基和丁基的任意者置换。另外,上述的甲基、乙基、丙基或者丁基能够被卤素置换。
作为碳氟化合物类气体,可以使用CF4气体、C4F6气体、C4F8气体等任意碳氟化合物类气体。作为不活泼气体,可以使用氮气、Ar气体、He气体等任意气体。
阀组42包括多个阀,流量控制器组45包括质量流量控制器等多个流量控制器。气源组40的多个气源各自经由阀组42的对应的阀和流量控制器组45的对应的流量控制器与气体供给管38和气体供给管82连接。因此,等离子体处理装置10能够以可被单独调整的流量向处理容器12的处理空间Sp内供给来自从气源组40的多个气源中选择出一个以上的气源的气体。
在处理容器12设置有气体导入口36c。气体导入口36c设置于在处理容器12内配置于载置台PD上的晶片W的上方。气体导入口36c与气体供给管38的一端连接。气体供给管38的另一端与阀组42连接。
气体导入口36c设置于电极支承体36。气体导入口36c经由气体扩散室36a向处理空间Sp导入碳氟化合物气体类气体、含氧原子的气体、含氟原子的气体、含氮原子和氢原子的气体、Ar气体、吹扫气体(包含不活泼气体等的气体)、防逆流气体(包含不活泼气体等的气体)等。从气体导入口36c经由气体扩散室36a被供给到处理空间Sp的上述各种气体,被供给到晶片W上,即晶片W与上部电极30之间的空间区域。
在处理容器12设置有气体导入口52a。气体导入口52a设置于在处理容器12内的配置于载置台PD上的晶片W的侧方。气体导入口52a与气体供给管82的一端连接。气体供给管82的另一端与阀组42连接。
气体导入口52a设置于处理容器12的侧壁。气体导入口52a向处理空间Sp导入包含含有机成分的氨基硅烷类气体的气体、防逆流气体(包含不活泼气体等的气体)等。从气体导入口52a供给到处理空间Sp的上述各种气体从晶片W的侧方被供给到晶片W上,即晶片W与上部电极30之间的空间区域。
与气体导入口36c连接的气体供给管38和与气体导入口52a连接的气体供给管82彼此不交叉。换言之,包括气体导入口36c和气体供给管38的气体的供给路径与包括气体导入口52a和气体供给管82的气体的供给路径彼此不交叉。
在等离子体处理装置10中,沿处理容器12的内壁可装拆地设置有防护罩46。防护罩46也设置于支承部14的外周。防护罩46是用于防止在处理容器12附着蚀刻副生物(沉积)的部件,例如可以在铝材上覆盖Y2O3等的陶瓷。防护罩除了Y2O3之外,可以含有例如如石英那样含氧的材料。
控制部Cnt是具有处理器、储存部、输入装置、显示装置等的计算机,用于控制图2所示的等离子体处理装置10的各部。在等离子体处理装置10中,控制部Cnt与阀组42、流量控制器组45、排气装置50、第一高频电源62、匹配器66、第二高频电源64、匹配器68、电源70、加热器电源HP、冷却装置等连接。
在图1所示的方法MT的各步骤中,控制部Cnt依照用于控制等离子体处理装置10的各部的计算机程序(基于被输入的方案的程序)来动作,发送控制信号。等离子体处理装置10的各部由来自控制部Cnt的控制信号控制。
具体而言,在图2所示的等离子体处理装置10中,控制部Cnt能够使用控制信号,来控制从气源组40供给的气体的选择和流量、排气装置50的排气、来自第一高频电源62和第二高频电源64的电力供给、来自电源70的电压施加,加热器电源HP的电力供给、来自冷却装置的制冷剂流量和制冷剂温度等。
此外,能够通过由控制部Cnt的控制来使等离子体处理装置10的各部动作,从而执行在本说明书中公开的用于处理被处理基片的方法MT的各步骤。在控制部Cnt的储存部可读取地存储有用于执行方法MT的计算机程序和执行方法MT中所使用的各种数据。
在此参照图1,对方法MT进行详细的说明。在下面,对使用等离子体处理装置10执行方法MT的例子进行说明。在下面的说明中,除了图1~图3之外,还参照图4~图10。
图4包括(a)部、(b)部、(c)部、(d)部,是图1所示的各步骤的实施前和实施后晶片W的状态的截面图。图5包括(a)部、(b)部、(c)部,是表示实施图1所示的方法的各步骤后晶片W的状态的截面图。图6是表示执行图1所示的方法MT的各步骤中的、气体的供给和高频电源的供给状态的图。图7包括(a)部、(b)部、(c)部,是示意地表示图1所示的方法MT中的保护膜SX的形成方式的图。图8是示意地表示通过图1所示的方法MT的成膜步骤(流程SQ1和步骤ST6)而形成的保护膜SX的膜厚与晶片W的主面的温度之关系的图。图9包括(a)部、(b)部、(c)部,是表示图1所示的方法MT中的被蚀刻层EL的蚀刻的原理的图。图10是表示处理容器12的内侧的膜的形成方式的图。
方法MT是对形成在晶片W上的图案(由形成于晶片W主面的凹凸所规定的图案,例如由后述的掩模MK1所规定的图案)形成膜的成膜方法。在减压环境下,晶片W配置在能够进行等离子体处理的处理空间Sp中所设置的载置台PD上。如上述那样,在等离子体处理装置10中,在处理空间Sp与载置台PD相对地配置有能够供给高频电力的上部电极30。如图1所示,方法MT包括步骤ST1~步骤ST10。方法MT包括流程SQ1(流程SQ1中步骤ST5a~步骤ST5d中,存在如后述那样的薄膜形成步骤的情况)、流程SQ2。首先,在步骤ST1中,准备图4的(a)部所示的晶片W作为图2所示的晶片W。在步骤ST1中,如图10的状态CON1所示的那样,位于处理容器12的内侧的等离子体处理装置10的所有构成部件的表面(例如,为产生等离子体的处理容器12的内壁面等,下面,有时仅指处理容器12的内侧的表面)露出于处理空间Sp。
在步骤ST1中准备的晶片W,如图4的(a)部所示的那样,具有基片SB、被蚀刻层EL、有机膜OL、防反射膜AL和掩模MK1。被蚀刻层EL设置于基片SB上。被蚀刻层EL是具有能够相对于有机膜OL有选择地被蚀刻的材料的层,能够使用绝缘膜。被蚀刻层EL例如可以包含氧化硅。此外,被蚀刻层EL有时包含多晶硅等其他材料。
有机膜OL设置于被蚀刻层EL上。有机膜OL是含碳的层,例如为SOH(旋涂硬掩模)层。防反射膜AL是含有硅的防反射膜,设置于有机膜OL上。掩模MK1设置于防反射膜AL上。掩模MK1是包括抗蚀剂材料的抗蚀剂掩模,通过利用光刻技术将抗蚀剂层图案化来进行制作。掩模MK1局部覆盖防反射膜AL。掩模MK1形成有使防反射膜AL局部露出的开口。掩模MK1的图案例如为线与空间图案。此外,掩模MK1可以具有俯视时提供圆形开口的图案。另外,掩模MK1也可以具有俯视时提供椭圆形开口的图案。
在步骤ST1中,准备图4的(a)部所示的晶片W,晶片W收纳在等离子体处理装置10的处理容器12的处理空间Sp内,并载置在载置台PD上。
在接着步骤ST1的步骤ST2中,对晶片W照射二次电子。具体而言,经由气体供给管38从气体导入口36c向处理容器12的处理空间Sp内供给氢气和稀有气体,通过从第一高频电源62供给高频电力,生成等离子体。另外,由电源70对上部电极30施加负的直流电压。由此,处理空间Sp中的正离子被引入上部电极30,该正离子冲撞上部电极30。正离子冲撞上部电极30,由此从上部电极30释放二次电子。通过对晶片W照射释放的二次电子,来对掩模MK1进行改质。在步骤ST2结束时,对处理容器12的处理空间Sp内进行吹扫。
在施加到上部电极30的负的直流电压的绝对值的电平较高的情况下,通过对电极板34冲撞正离子,作为该电极板34的构成材料的硅与二次电子一同被释放。释放的硅与从暴露在等离子体中的等离子体处理装置10的构成部件释放的氧结合。从例如支承部14、绝缘性遮挡部件32和防护罩46等部件释放该氧。通过这样的硅与氧的结合,生成氧化硅的化合物,该氧化硅的化合物沉积在晶片W上覆盖并保护掩模MK1。
利用上述改质和保护的效果,能够抑制由后续的步骤导致的掩模MK1的损伤。此外,在步骤ST2中,为了通过二次电子的照射形成改质、保护膜,也可以将第二高频电源64的偏置电力设为最小限,抑制硅的释放。
在接着步骤ST2的步骤ST3中,蚀刻防反射膜AL。具体而言,如图6的附图标记SRa所示的那样,从气源组40的多个气源中选择的气源,经由气体供给管38和气体导入口36c,向处理容器12的处理空间Sp内供给含有碳氟化合物类气体的气体。该情况下,如图6的附图标记SRb所示的那样,不从气体导入口52a供给气体,或者如图6的附图标记SRb的虚线所示的那样,经由气体供给管82和气体导入口52a,向处理容器12的处理空间Sp内供给防逆流气体。
然后,如图6的附图标记SRc所示的那样,从第一高频电源62供给高频电力,如图6的附图标记SRd所示的那样,从第二高频电源64供给高频偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预先设定的压力。由此,生成碳氟化合物类气体的等离子体。
生成的等离子体中含有氟的活性种蚀刻防反射膜AL的全部区域中从掩模MK1露出的区域。通过该蚀刻,如图4的(b)部所示的那样,由防反射膜AL形成掩模ALM。利用步骤ST3形成的与有机膜OL相对的掩模,包括掩模MK1和掩模ALM。
在接着步骤ST3的步骤ST4中,与步骤ST2的方法同样,如图4的(c)部所示的那样,在掩模MK1的表面、掩模ALM的表面、有机膜OL的表面形成氧化硅的保护膜PF。在步骤ST4结束时,对处理容器12的处理空间Sp内进行吹扫。此外,也可以在步骤ST3之后,不进行步骤ST4而执行流程SQ1。
接着步骤ST4,在图1所示的方法MT中,执行一次以上的流程SQ1。流程SQ1包括步骤ST5a~步骤ST5f。流程SQ1包括:在晶片W的图案形成沉积膜(构成保护膜SX的薄膜)的第一步骤(步骤ST5a~步骤ST5d);和接着第一步骤,仅向上部电极30供给电力以在处理空间Sp产生等离子体,从而对处理空间Sp进行清扫的第二步骤(步骤ST5e~步骤ST5f)。如图4的(d)部所示的那样,包括流程SQ1和步骤ST6的成膜步骤,包括薄膜形成步骤(步骤ST5a、步骤ST5b、步骤ST5c、步骤ST5d)和清扫步骤(步骤ST5e、步骤ST5f),其中该薄膜形成步骤利用与ALD法(ALD:Atomic Layer Deposition,原子层沉积)同样的方法,对收纳于等离子体处理装置10的处理容器12内的晶片W的主面,以共形的方式形成薄膜(构成保护膜SX的膜),该清扫步骤接在薄膜形成步骤后,清扫处理容器12内位于晶片W的上方(处理容器12内的顶部侧)的区域。
成膜步骤中,通过步骤ST6来反复执行包括薄膜形成步骤和清扫步骤的流程SQ1,图4的(d)部所示的那样在晶片W的主面形成保护膜SX。在执行一次流程SQ1中,通过执行薄膜形成步骤以在晶片W的主面形成薄膜(构成保护膜SX的膜),并通过执行清扫步骤,去除由于该薄膜的形成而在处理容器12的内侧形成的薄膜(图10所示的薄膜SXa)中的位于处理容器12的上部(处理容器12内的顶部侧)的部分。
步骤ST5a中,向处理空间Sp供给包含前体(层Ly1)的材料的第一气体(气体G1),使该前体吸附于图案(由掩模MK1规定的图案)的表面。在步骤ST5a中,向处理容器12的处理空间Sp内导入气体G1。具体而言,如图6的附图标记SRb所示的那样,从由气源组40的多个气源中选择的气源经由气体供给管82和气体导入口52a,向处理容器12的处理空间Sp内供给气体G1。该情况下,如图6的附图标记SRa所示的那样,不从气体导入口36c供给气体,或者如图6的附图标记SRa的虚线所示的那样,经由气体供给管38和气体导入口36c,向处理容器12的处理空间Sp内供给防逆流气体。
在步骤ST5a中,如图6的附图标记SRc、附图标记SRd所示的那样,并不生成气体G1的等离子体。气体G1为例如包含有机成分的氨基硅烷类气体。气体G1作为包含有机成分的氨基硅烷类气体,包含一氨基硅烷(H3-Si-R(R为氨基))。
图7的(a)部所示的那样,气体G1的分子作为反应前体附着在晶片W的主面。气体G1的分子(例如一氨基硅烷)是通过基于化学结合的化学吸附而附着在晶片W的主面的分子,能够不使用等离子体。在步骤ST5a中,晶片W的温度为摄氏0度以上且掩模MK1所包含的材料的玻璃转化温度以下(例如摄氏200度以下)。
此外,在该温度范围内,当包含通过化学结合而能够附着在表面且含硅的分子时,也能够利用一氨基硅烷以外的气体。对于二氨基硅烷(H2-Si-R2(R为氨基))和三氨基硅烷(H-Si-R3(R为氨基))而言,由于具有比一氨基硅烷复杂的分子结构,因此在作为气体G1使用的情况下,为了能够形成均匀的膜,有时也进行用于使氨基自行分解的热处理。
选择一氨基硅烷类气体作为气体G1的一例的理由,是由于一氨基硅烷具有比较高的电负性且由于具有有极性的分子结构而能够比较容易地进行化学附着。由于该附着为化学吸附,因此通过气体G1的分子被吸附于晶片W的主面而形成的层Ly1(参照图7的(b)部),成为接近单分子层(单层)的状态。
一氨基硅烷的氨基(R)越小,被吸附于晶片W的主面的分子的分子结构变得越小,因此能够降低由于分子的大小而引起的空间障碍,从而气体G1的分子能够均匀地吸附于晶片W的主面,层Ly1能够相对于晶片W的主面以均匀的膜厚形成。例如,通过气体G1所包含的一氨基硅烷(H3-Si-R)与晶片W的主面的OH基反应,形成反应前体的H3-Si-O,从而形成H3-Si-O单分子层的层Ly1。因此,能够不依赖于晶片W的图案密度,而在晶片W的主面以均匀的膜厚共形地形成反应前体的层Ly1。
在接着步骤ST5a的步骤ST5b中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,在步骤ST5a中,供给的气体G1被排气。在步骤ST5b中,也可以不向处理容器12的处理空间Sp内供给作为吹扫气体的氮气等不活泼气体。即,步骤ST5b的吹扫可以为使不活泼气体在处理容器12的处理空间Sp内流动的气体吹扫,或者通过抽真空引来进行的吹扫的任意者。在步骤ST5b中,还能够除去过剩地附着于晶片W上的分子。通过以上那样,反应前体的层Ly1成为极薄的单分子层。
接着步骤ST5b的步骤ST5c中,产生第二气体(气体G2)的等离子体,向前体(通过步骤ST5a形成的前体,即层Ly1)供给该等离子体。在步骤ST5c中,在处理容器12的处理空间Sp内生成气体G2的等离子体P1。在步骤ST5c中,在生成气体G2的等离子体P1时的晶片W的温度为摄氏0度以上且掩模MK1所包含的材料的玻璃转化温度以下(例如摄氏200度以下)。具体而言,如图6的附图标记SRa所示的那样,从由气源组40的多个气源中选择气源,经由气体供给管38和气体导入口36c向处理容器12的处理空间Sp内供给含氧(O)的气体G2。气体G2含有氧或者氮。气体G2可以含有例如O2气体(氧气)。该情况下,如图6的附图标记SRb所示的那样,不从气体导入口52a供给气体,或者如图6的附图标记SRb的虚线所示的那样,经由气体供给管82和气体导入口52a向防处理容器12的处理空间Sp内供给逆流气体。
然后,如图6的附图标记SRc所示的那样,从第一高频电源62供给高频电力,如图6的附图标记SRd所示的那样,不施加第二高频电源64的偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预先设定的压力。此外,能够不使用第一高频电源62,仅使用第二高频电源64来生成等离子体。
如上述那样,通过执行步骤ST5a,附着于晶片W的主面的分子(构成层Ly1的单分子层的分子)包含硅与氢的结合。硅与氢的结合能量低于硅与氧的结合能量。因此,图7的(b)部所示的那样,当生成包含氧气的气体G2的等离子体P1时,生成氧的活性种,例如氧自由基,构成层Ly1的单分子层的分子的氢被置换为氧,如图7的(c)部所示的那样,氧化硅的层Ly2形成为单分子层。
在接着步骤ST5c的步骤ST5d中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,在步骤ST5c中,供给的气体G2被排气。在步骤ST5d中,也可以向处理容器12的处理空间Sp内供给作为吹扫气体的例如氮气等不活泼气体。即,步骤ST5d的吹扫可以为使不活泼气体在处理容器12的处理空间Sp内流动的气体吹扫或者通过抽真空进行的吹扫的任意者。
如以上说明的那样,在步骤ST5b中进行吹扫,在接着步骤ST5b的步骤ST5c中,构成层Ly1的分子的氢被置换为氧。因此,通过执行薄膜形成步骤(步骤ST5a~步骤ST5d),在晶片W的主面上形成原子层等级的膜厚的薄膜(构成保护膜SX的膜)。通过执行一次薄膜形成步骤,能够与ALD法同样地,不论掩模MK1的疏密都能够以较薄的均匀的膜厚共形地在晶片W的主面上形成氧化硅的层Ly2。而且,通过执行薄膜形成步骤,如图10的状态CON2所示的那样,薄膜SXa附着在处理容器12的内侧的表面。
接着步骤ST5d的步骤ST5e中,清扫处理容器12内的位于晶片W的上方的区域。更具体而言,步骤ST5e中,清扫处理容器12的内侧处上部电极30侧的表面。在步骤ST5e中,通过执行薄膜形成步骤,如图10的状态CON3所示的那样,除去在处理容器12的内侧的表面附着的薄膜SXa中附着于上部电极30侧的表面的部分(处理容器12内位于晶片W的上方的区域的部分)。
步骤ST5e中,在处理空间Sp产生第三气体(气体G3)的等离子体。在步骤ST5e中,在处理容器12的处理空间Sp内生成气体G3的等离子体。步骤ST5e中,使用从位于晶片W的上方的上部电极30供给的高频电力,以在处理容器12内生成气体G3的等离子体。步骤ST5e中,不施加使用第二高频电源64的偏置电压。具体而言,如图6的附图标记SRa所示的那样,从由气源组40的多个气源中选择的气源,经由气体供给管38和气体导入口36c向处理容器12的处理空间Sp内供给气体G3。该情况下,如图6的附图标记SRb所示的那样,不从气体导入口52a供给气体,或者如图6的附图标记SRb的虚线所示的那样,经由气体供给管82和气体导入口52a向处理容器12的处理空间Sp内供给防逆流气体。
在步骤ST5e中,能够使用下面的处理条件(下面,称为条件组CND)。即,条件组CND如图6的附图标记SRc所示的那样,从第一高频电源62供给高频电力,不过也具有如图6的附图标记SRd所示的那样,不施加第二高频电源64的偏置电力这样的条件。条件组CND还包括宽间隔(wide gap)条件。本说明中,宽间隔条件是指电极间隔为30[mm]以上的状态。例如在压力100[mTorr]的条件下,在电极间隔不足30[mm]的情况下,通过实验确认了能够降低依赖于间隔长度的电子/离子密度的变动。因此,优选至少具有30[mm]以上的电极间隔的条件。条件组CND还具有这样的条件:通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预先设定的比较高的压力。在本说明中高的压力为大概100[mTorr]以上的压力。在100[mTorr]以上的压力的条件下,平均自由步骤成为1[mm]以下,能够充分减少向晶片W侧去的自由基、离子的入射,能够抑制晶片W侧的蚀刻速率。
根据步骤ST5e的上述处理条件(条件组CND),步骤ST5e的清扫中的蚀刻速率在上部电极30侧(处理容器12内的上部)处比在晶片W侧(处理容器12内的下部)处高。条件组CND如上述的那样,包括仅供给来自第一高频电源62的高频电力的条件、将处理容器12的处理空间Sp内的压力设为比较高的压力的条件和宽间隔条件。
通过条件组CND中仅供给来自第一高频电源62的高频电力这样的条件,能够使等离子体密度和电子密度集中在上部电极30侧。通过条件组CND中将处理容器12的处理空间Sp内的压力设为比较高的压力的条件和宽间隔条件,能够使等离子体密度和电子密度的各密度分布更集中在上部电极30侧。
鞘宽度随着电子密度改变而改变,鞘电压由阳极/阴极比决定。在本说明中,阳极/阴极比是指面积比,例如能够为上部电极30和下部电极LE的面积以及分别与上部电极30和下部电极LE导通的(与各自的电极同电位)部分的面积相应地合计面积。在条件组CND中,阴极包括上部电极30,阳极包括晶片W(下部电极LE)和处理容器12内的内壁,阳极侧的区域相对地大于阴极侧的区域,因此也能够降低鞘电压。
因此,在条件组CND中,电子密度、鞘电压和离子能量如图12和图13所示的那样在与上部电极30隔开间隔的晶片W侧被充分降低,因此在使用条件组CND的步骤ST5e的清扫中,蚀刻速率在晶片W侧小于上部电极30侧。
图12表示处理容器12内的位置与等离子体密度的相互关系,图12的横轴表示处理容器12内的位置,图12的纵轴表示等离子体密度。
图13表示处理容器12内的位置与等离子体密度的相互关系,图13的横轴表示处理容器12内的位置,图13的纵轴表示离子能量。此处,等离子体密度是指等离子体中的电子密度和离子密度。另外,由于电子密度与离子密度大致相等,因此等离子体密度的增减反映了电子密度和离子密度的增减。
根据条件组CND,如图11所示的那样,去除上部电极30侧(处理容器12内的上部)的薄膜SXa比去除晶片W侧(处理容器12内的下部)的薄膜SXa更早结束。
图11是表示图1所示的清扫步骤的清扫(步骤ST5e)的执行时间或者图1所示的清扫步骤的清扫(步骤ST5e)中所使用高频电力,与该清扫后的薄膜SXa的残余厚度的相互关系的图。图11的横轴表示步骤ST5e的清扫的执行时间或者步骤ST5e的清扫中所使用的第一高频电源62的高频电力,图11的纵轴表示步骤ST5e的清扫后的薄膜SXa的残余厚度。
在步骤ST5e的清扫中,上部电极30侧的蚀刻量(ET[nm])为上部电极30侧的蚀刻速率(ER[nm/Sec])与蚀刻时间(T[Sec])的积(ET[nm]=ER[nm/Sec]×T[Sec])。蚀刻时间(T[Sec])为步骤ST5e的清扫的执行时间。蚀刻速率与第一高频电源62的高频电力(RF[W])大致成比例,因此在步骤ST5e的清扫中,上部电极30侧的蚀刻量(ET[nm])与RF[W]×T[Sec]成比例。
因此,当将步骤ST5e的清扫的执行时的上部电极30侧的薄膜SXa的膜厚(FT[nm])设定为蚀刻量(ET[nm])时,通过使用满足(FT[nm]=ET[nm])、FT[nm]=RF[W]×T[Sec]的RF[W]、T[Sec],能够如图11所示的那样充分抑制对晶片W的蚀刻同时充分除去上部电极30侧的薄膜SXa。如此,在步骤ST5e的清扫中能够设定的RF[W]、T[Sec]的组合具有比较高的自由度,能够适当地进行选择以与条件组CND匹配。
气体G3的气体种类能够根据气体G1的气体种类和气体G2的气体种类的组合,即尤其是形成于处理容器12的内侧的薄膜SXa的材料,适当地进行选择。
在薄膜SXa为含有SiO2的物质的情况下,例如可以为气体G1是包含含有机成分的氨基硅烷类气体的气体或者包含四氯化硅(SiCl4)的气体,气体G2是O2气体、CO2气体、CO气体等含氧(O)的气体,气体G3含有卤化物,例如是CF4气体、NF3气体、SF6气体等含氟(F)的气体。
在薄膜SXa为含钨(W)的物质的情况下,例如可以为气体G1是WF6气体等含卤化钨的气体,气体G2是含氢(H2)的气体,气体G3为含CF4气体、NF3气体、SF6气体等含氟(F)的气体。
在薄膜SXa为TiO、TiN等含钛(Ti)的物质的情况下,例如可以为气体G1是包含四氯化钛(TiCl4)或者四(二甲氨基)钛(TDMAT)的气体,气体G2是包含水(H2O)或者氨气(NH3)的气体,气体G3是包含CF4气体、NF3气体、SF6气体、Cl2气体等卤素(F、Cl等)的气体。
在薄膜SXa为Box、BN等含硼(B)的物质的情况下,例如可以为气体G1是包括BBr3气体、BCl3气体等的卤化硼的气体,气体G2是包含水(H2O)或者氨气(NH3)的气体,气体G3是包含CF4气体、NF3气体、SF6气体、Cl2气体等卤素(F、Cl等)的气体。
在薄膜SXa为有机膜的情况下,气体G1和气体G2均包含有机化合物气体。更具体而言,在薄膜SXa为有机膜的情况下,对于气体G1和气体G2而言,可以为(a)气体G1包含供电子性的取代基(第一取代基),并且气体G2包含吸电子性的取代基(第二取代基)。或者,可以为(b)气体G1包含吸电子性的取代基,并且气体G2包含供电子性的取代基。在薄膜SXa为有机膜的情况下,气体G3为包含O2气体、CO2气体、CO气体等含氧(O)的气体。此外,在薄膜SXa为有机膜的情况下,第一步骤(步骤ST5a~步骤ST5d)中,向处理空间Sp供给包含供电子性的取代基的气体G1,使供电子性的取代基吸附在图案(为有形成于晶片W的主面的凹凸来规定的图案,例如由掩模MK1规定的图案)的表面,第二步骤(步骤ST5e~步骤ST5f)中,向供电子性的取代基供给包含吸电子性的取代基的气体G2。如此,通过包含供电子性的取代基的气体G1的材料与包含吸电子性的取代基的气体G2的材料发生聚合反应,能够形成沉积膜(构成保护膜SX的薄膜)。
在薄膜SXa为有机膜的情况下,在步骤ST5c中不生成等离子体,而通过气体G1的材料与气体G2的材料聚合或者热聚合来形成作为有机膜的薄膜SXa。如此,即使在气体G1的材料与气体G2的材料发生聚合或者热聚合的情况下,也与ALD法同样地能够发挥自限制(self-limiting)的作用。
在薄膜SXa为有机膜的情况下,在薄膜形成步骤(尤其是步骤ST5a和步骤ST5c)中,晶片W的温度有时被调节到例如摄氏30度以上、摄氏200度以下。
对薄膜SXa为有机膜的情况进行更具体的说明。对于薄膜SXa为有机膜的情况下,在下面的说明中,为方便,将气体G1和气体G2中任一气体称为气体GA,将气体G1和气体G2中该气体GA以外的另一气体称为气体GB。
在薄膜SXa为有机膜(尿素树脂)的情况下,例如可以为气体GA为包含具供电子性的取代基的二胺化合物的气体,气体GB为包含具有吸电子性的取代基的异氰酸酯化合物的气体。在薄膜SXa为尿素树脂的情况下,例如可以为气体GA是包含具有供电子性的取代基的尿素的气体,气体GB是包含具有吸电子性的取代基的醛化合物的气体。
第一步骤中,通过异氰酸酯与胺的聚合反应或者异氰酸酯与具有羟基的化合物的聚合反应,能够形成沉积膜(构成保护膜SX的薄膜)。
在薄膜SXa为聚酰亚胺树脂的情况下,例如可以为气体GA是包含具有供电子性的取代基的二胺化合物的气体,气体GB是包括具有吸电子性的取代基的二羧酸化合物的气体。
在薄膜SXa为聚酯树脂的情况下,例如可以为气体GA是包含具有供电子性的取代基的二醇化合物的气体,气体GB是包含具有吸电子性的取代基的二羧酸化合物的气体。
在薄膜SXa为聚碳酸酯树脂的情况下,例如可以为气体GA是包含具有供电子性的取代基的双酚化合物的气体,气体GB是包含具有吸电子性的取代基的碳酰氯化合物的气体。
在保护膜SX为聚氨酯树脂的情况下,例如可以为气体GA是包含具有供电子性的取代基的乙醇化合物的气体,气体GB是包含具有吸电子性的取代基的异氰酸酯化合物的气体。
在薄膜SXa为环氧树脂的情况下,例如可以为气体GA是包含供电子性的取代基的胺化合物或者酸酐的气体,气体GB是包含具有吸电子性的取代基的环氧化合物的气体。
在薄膜SXa为酚树脂的情况下,例如可以为气体GA是包含具有供电子性的取代基的酚化合物的气体,气体GB是包含具有吸电子性的取代基的醛化合物的气体。
在薄膜SXa为三聚氰胺树脂的情况下,例如可以为气体GA是包含具有供电子性的取代基的三聚氰胺化合物的气体,气体GB是包含具有吸电子性的取代基的醛化合物的气体。
在接着步骤ST5e的步骤ST5f中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,在步骤ST5e中,供给的气体G3被排气。在步骤ST5f中,可以向处理容器12的处理空间Sp内供给作为吹扫气体的例如氮气等不活泼气体。即,步骤ST5f的吹扫可以为使不活泼气体在处理容器12的处理空间Sp内流动的气体吹扫或者由抽真空进行的吹扫的任意者。
在接着流程SQ1的步骤ST6中,判断流程SQ1的执行是否结束。具体而言,在步骤ST6中,判断流程SQ1的执行次数是否达到预先设定的次数。确定流程SQ1的执行次数则确定在晶片W上成膜的保护膜SX的膜厚。
即,通过执行一次(单位循环)流程SQ1而形成的薄膜的膜厚与流程SQ1的执行次数之积,从而最终实质上确定形成在晶片W上的保护膜SX的膜厚。因此,根据形成在晶片W上的保护膜SX的希望的膜厚,来确定流程SQ1的执行次数。
在步骤ST6中,在判断为流程SQ1的执行次数未达到预先设定的次数的情况下(步骤ST6:否),再次反复执行流程SQ1。另一方面,在步骤ST6中,在判断为流程SQ1的执行次数达到了预先设定的次数的情况下(步骤ST6:是),结束执行流程SQ1,转移到步骤ST7。
由此,如图4的(d)部所示的那样,在晶片W的主面上形成氧化硅的保护膜SX。即,通过将流程SQ1反复执行预先设定的次数,能够不论掩模MK1的疏密如何,都以均匀的膜共形地在晶片W的主面形成具有预先设定的膜厚的保护膜SX。
保护膜SX如图4的(d)部所示的那样包括区域R11、区域R21和区域R31。区域R31是在掩模MK1的侧面上和掩模ALM的侧面上沿该侧面延伸的区域。区域R31从有机膜OL的表面延伸至区域R11的下侧。区域R11在掩模MK1的上表面的上和区域R31上延伸。区域R21在相邻的区域R31之间和有机膜OL的表面上延伸。
如上述那样,流程SQ1中,通过与ALD法同样的方法形成保护膜SX,因此,不管掩模MK1的疏密如何,区域R11、区域R21和区域R31各自的膜厚都成为大致相等的膜厚。
此外,在上述的流程SQ1和步骤ST6的成膜步骤中成膜的保护膜SX的膜厚随着晶片W的主面的温度而增减,因此能够在执行步骤ST4后、执行流程SQ1前,对晶片W的主面的多个区域ER(参照图3)的每一个使用温度调节部HT来调节晶片W的主面的温度,从而进行在晶片W的主面上调节保护膜SX的膜厚的步骤(在下面的说明中,有时称作温度调节步骤)。在一实施方式中,该温度调节步骤可以包含于步骤ST1(用于准备晶片的步骤),不过不限于此,只要最初在执行步骤ST5a前即可,例如也能够在步骤ST4后执行。
在上述的温度调节步骤中,控制部Cnt使用表示流程SQ1和步骤ST6的成膜步骤中的晶片W的主面的温度与在槽(设置于晶片W的主面的图案所包含的槽)的内面沉积的膜(通过流程SQ1和步骤ST6的成膜步骤形成的膜)的膜厚的对应关系的、预先获取的对应数据DT,对多个区域ER的每一个调节晶片W的主面的温度,使得在该槽中减小由于该膜的形成而导致的晶片W的主面上的槽宽度的偏差(或者,使得每个晶片W的主面的区域ER,槽宽度成为希望的宽度)。对应数据DT是在按晶片W的主面的温度与流程SQ1和步骤ST6的成膜步骤相同的条件(除了晶片W的主面的温度之外的条件)下,使膜沉积在晶片W的主面,从而预先获得的数据,其可读取地存储于控制部Cnt的储存部。
即,在温度调节步骤中,控制部Cnt使用温度调节部HT与对应数据DT,对每个区域ER调节晶片W的主面的温度,使得成为与每个区域ER的希望的膜厚对应的温度。在由温度调节步骤进行了温度调节的晶片W的主面,进行流程SQ1和步骤ST6的成膜步骤,从而能够使晶片W的主面上的槽宽度成为希望的宽度,尤其是能够减小槽宽度的偏差。
参照图8进行说明。图8所示的线GRa表示通过流程SQ1形成的薄膜(构成保护膜SX的膜)的膜厚与形成有该膜的晶片W的主面的温度的对应关系,与阿伦尼乌斯(Arrhenius)式(阿伦尼乌斯图)相对应。图8的横轴表示通过流程SQ1而形成薄膜的晶片W的主面的温度。图8的纵轴表示通过流程SQ1而形成的薄膜的膜厚。尤其是,图8的横轴所示的膜厚为在流程SQ1中所使用的ALD法中、以达到自限制(self-limited)区域的时间以上的时间形成的薄膜的膜厚。
如图8所示的那样,在晶片W的主面的温度为值T1的情况下,在晶片W的该主面形成的膜的膜厚为值W1,在晶片W的该主面的温度为值T2(T2>T1)的情况下,在晶片W的该主面形成的膜的膜厚为值W2(W2>W1)。如此,在使用ALD法的情况下,能够使晶片W的主面的温度越高,在该主面形成的保护膜SX的膜厚越厚。
对晶片W的主面的温度与在形成保护膜SX中所使用的前体(层Ly1)的厚度的相互关系进行说明。图7所示的前体(层Ly1)的厚度能够随着晶片W的主面的温度而增减,不过前体的厚度与晶片W的主面的温度的相关性的程度取决于处理容器12内的环境。
如图14所示的那样,通过将前体吸附于被吸附表面(在一实施方式中,为晶片W的主面)、将前体吸附于处理容器12的内壁面、不被吸附于处理容器12而照原样排气中的任意种方式,来耗费包含前体的材料的气体(在一实施方式中,为气体G1)。图14是表示处理容器12内的前体的消耗比例与ALD(在一实施方式中,步骤ST5a~步骤ST5d的薄膜形成步骤)的循环数的相互关系的图。图14的横轴表示ALD的循环数,图14的纵轴表示前体的消耗比例。
如图14所示的那样,被吸附于被吸附表面的前体的比例(区域Q1a)与被吸附于处理容器12的内壁面的前体的比例(区域Q1b)之和,大于不被吸附于处理容器12内而照原样排气的前体的比例(区域Q2)。
在ALD中,前体被化学吸附于被吸附表面,仅吸附原子层的一层这样的程度。该情况下,在被吸附表面,必须露出用于前体进行化学吸附(化学结合)的特定的取代基,不过在刚刚清扫后的处理容器12的内壁面,并不露出化学吸附所需的取代基。因此,在ALD的多个循环的初期,不通过化学吸附而通过物理吸附,在处理容器12的内壁面不以一层而以多层吸附前体,多余部分的前体能够被消耗掉。
因此,在ALD的多个循环的初期,如图15所示的那样,达到被吸附表面的前体的流量(分压)比较小。在包含前体的材料的气体中,在这样的低流量的条件下,相当于原子层的数层的分子数的改变所导致的影响比较大,因此,在膜厚的控制中,能够实现比较高的分辨率。
图15是表示包含前体的材料的气体的被吸附表面上的包含前体的材料的气体的流量(分压)与ALD的循环数的相互关系的图。图15的横轴表示ALD的循环数,图15的纵轴表示包含前体的材料的气体的被吸附表面上的流量(分压)。
另一方面,在ALD的多个循环的后期,在处理容器12的内壁面形成膜,使得在表面露出化学吸附所需的取代基。其结果,在处理容器12的内壁面,仅消耗原子层的一层程度的前体,作为结果,如图15所示的那样,达到被吸附表面的包含前体的材料的气体的流量(分压)变大。如此,ALD的循环数的增加,并且包含前体的材料的气体的被吸附表面上的流量(分压)变大。
被吸附表面上的前体的吸附量根据被吸附表面的温度而变化。图16是表示包含前体的材料的气体的被吸附表面上的流量(分压)与被吸附表面上的前体的吸附量的相互关系的温度依赖性的图。图16的横轴表示包含前体的材料的气体的被吸附表面上的流量(分压),图16的纵轴表示被吸附表面上的前体的吸附量。
如图16所示的那样,在ALD中,被吸附表面上的前体的吸附量取决于包含前体的材料的气体的被吸附表面上的流量(分压)和被吸附表面的温度。在被吸附表面的温度比较低的情况下(图16的虚线),当被吸附表面上的包含前体的材料的气体的流量(分压)比较小时(区域K1),被吸附表面上的前体的吸附量比较小,被吸附表面上包含前体的材料的气体的流量(分压)越变得比较大(区域K2),则被吸附表面上的前体的吸附量也变大,前体的吸附量接近饱和值。
另一方面,在被吸附表面的温度比较高的情况下(图16的实线),即使在包含前体的材料的气体的被吸附表面上的流量(分压)比较小时(区域K1),被吸附表面上的前体的吸附量也变大,因此包含前体的材料的气体的被吸附表面上的流量(分压)跨过比较宽的范围(区域K2),被吸附表面上的前体的吸附量成为大致饱和吸附量的程度。
在一实施方式中,如流程SQ1那样,每执行一次ALD(步骤ST5a~步骤ST5d)的各循环,就对处理容器12内进行清扫(步骤ST5e~步骤STf),因此在执行ALD的各循环时,处理容器12内通常为清扫后的状态。处理容器12内的清扫后的状态与图14和图15所示那样的ALD的多个循环的初期的处理容器12内的状态对应,因此在一实施方式中,执行ALD(步骤ST5a~步骤ST5d)的各循环时,通常包含前体的材料的气体(气体G1)的被吸附表面(晶片W的主面)上流量(分压)比较小,因此相当于图16的区域K1的情况,晶片W的主面上的前体的吸附量能够随着晶片W的主面的温度的增减而足够有效地增减。
如流程SQ1那样,在每执行一次ALD(步骤ST5a~步骤ST5d)的各循环就对处理容器12内进行清扫(步骤ST5e~步骤STf)的情况下,如图17的线Gc1所示的那样,无论ALD的循环数增加与否,被吸附表面(晶片W的主面)上的DPC(Deposition per cycle,每循环沉积量)[nm/循环]都能够被一定地维持在比较小的值。另一方面,在每执行一次ALD的各循环而不进行清扫的情况下,如图17的线Gc2所示的那样,被吸附表面上的DCP[nm/循环]随着ALD的循环数的增加而增加。
图17是比较在ALD的各循环中进行清扫的情况下的DPC与不进行清扫的情况下的DPC的图。图17的横轴表示ALD的循环数,图17的纵轴表示被吸附表面上的DPC[nm/循环]。
此外,通过如此将向晶片W的主面去的前体的吸附量抑制得比较少,能够以比原子层的一层程度小的单位来控制DPC[nm/循环]。作为一实施方式,相对于晶片W的主面与处理容器12内的内壁面总共的面积,吸附原子层的一层程度时的吸附量(分子数),为每一个ALD循环的前体的供给量(分子数)的例如20%以上。即,相对于仅覆盖晶片W的主面和处理容器12内的内壁面的整个面时的前体的总量,前体的供给量不够大时,前体的分压变小,因此容易产生因温度差而导致的吸附量之差。为满足该条件,能够举出将包含前体的材料的气体G1的流量设定得足够低和增大处理容器12的容积这两种方法。
在处理容器12内未清扫的情况下,如图18所示的那样,每一循环能够形成的膜的厚度DCP[nm/循环]比较大,不过不论ALD的循环是否增加,DCP[nm/循环]都能够为大致一定的值。图18是表示未进行清扫的处理容器12内的ALD的循环数与DPC的相互关系的图。图18的横轴表示ALD的循环数,图19的纵轴表示DPC[nm/循环]。
根据晶片W的主面的温度而在主面形成的膜的厚度可以变化,不过如图18所示的那样,在不进行清扫而反复形成沉积膜的情况下,在反复形成沉积膜时,与晶片W的主面的温度相应的膜厚的变化的程度减小,因此,可能发生不能有效地进行晶片W的主面的温度调节的情况。对此,在一实施方式的方法MT的成膜方法中,在对晶片W的主面进行了温度调节后形成沉积膜,不过每形成一次沉积膜就进行一次清扫,因此即使反复形成沉积膜,晶片W的主面的温度调节对于晶片W的主面上的沉积膜的膜厚的控制也能够发挥非常有效的作用。另外,由于使用预先获取的对应数据DT,因此能够更加正确且再现性良好地执行晶片W的主面的温度的调节。
实际上,在清扫处理容器12内的情况下,如图19所示的那样,每一循环能够形成的膜的厚度DCP[nm/循环]比较小,随着ALD的循环增加,DCP[nm/循环]也增加。图19是表示进行了清扫的处理容器12内的ALD的循环数与DPC的相互关系的图。图19的横轴表示ALD的循环数,图19的纵轴表示DPC[nm/循环]。
另外,如上述那样,流程SQ1包括:通过与ALD法相同的方法来进行成膜的薄膜形成步骤(步骤ST5a~步骤ST5d);和每执行一次该薄膜形成步骤,就对晶片W的上方(处理容器12内的顶部侧)处的处理容器12的内侧的部分进行清扫的清扫步骤(步骤ST5e、步骤ST5f)。薄膜形成步骤是与ALD法相同的方法,因此通过一次薄膜形成步骤而在处理容器12的内侧形成的膜的膜厚为原子层水平的膜厚。因此,在每执行一次薄膜形成步骤而进行的清扫步骤中,除去这样的原子层的水平的膜厚的膜,因此即使清扫步骤的执行时间非常短,也能够充分地除去处理容器12的内侧的膜中在晶片W的上方处的部分。
例如对一片晶片W反复20次流程SQ1的处理时间,与不进行清扫步骤而仅反复20次薄膜形成步骤的处理时间和在该薄膜形成步骤之后仅执行一次处理容器12的内侧的清扫的处理时间(在使用了晶片的清扫的情况下,包括运送该晶片所需的处理时间)总共的处理时间相比,能够变短。
图20是表示执行了20次薄膜形成步骤的情况下下每一片晶片W的处理时间的详情的图。图21是表示每一片晶片W的薄膜形成步骤的反复次数与处理时间的相互关系的图。
不进行清扫步骤而仅反复20次薄膜形成步骤和在反复20次薄膜形成步骤后使用晶片仅进行一次处理容器12的内侧的清扫的情况下的处理时间(称为处理时间TP1)的详情,由图20的长方形GR1标出。在长方形GR1中,附图标记ALD1所示的部分表示与20次薄膜形成步骤相应的处理时间。当使一次薄膜形成步骤相应的处理时间为40[s/次]的程度时,20次薄膜形成步骤的处理时间为800[s](=40[s/次]×20[次])的程度。
在长方形GR1中,附图标记DC1所示的部分表示反复进行了20次薄膜形成步骤的情况下处理容器12的内侧的清扫所需要的处理时间。反复进行了20次薄膜形成步骤的情况下处理容器12的内侧的清扫所需要的处理时间为300[s]的程度。在长方形GR1中,附图标记TR1所示的部分表示处理容器12的内侧的清扫中使用的晶片的运送所需要的处理时间。晶片的运送所需要的处理时间为60[s]的程度。
因此,由长方形GR1表示的处理时间,即,不进行清扫步骤而仅反复进行20次薄膜形成步骤并且反复进行20次薄膜形成步骤之后,使用晶片仅进行一次处理容器12的内侧的清扫的情况下的处理时间TP1为1160[s]的程度。
另外,不进行清扫步骤而仅反复进行20次薄膜形成步骤并且反复进行20次薄膜形成步骤之后,不使用晶片而仅进行一次处理容器12的内侧的清扫的情况下的处理时间(称为处理时间TP2)的详情,由图20的长方形GR2表示。在长方形GR2中,附图标记ALD2所示的部分表示20次薄膜形成步骤的处理时间。当使一次薄膜形成步骤的处理时间为40[s/次]的程度时,20次薄膜形成步骤的处理时间为800[s](=40[s/次]×20[次])的程度。
在长方形GR2中,附图标记DC2所示的部分表示在反复进行了20次薄膜形成步骤的情况下处理容器12的内侧的清扫所需要的处理时间。在反复进行了20次薄膜形成步骤的情况下处理容器12的内侧的清扫所需要的处理时间为300[s]的程度。
因此,由长方形GR2表示的处理时间,即,不进行清扫步骤而仅反复进行20次薄膜形成步骤并且反复进行20次薄膜形成步骤之后,不使用晶片而仅进行一次处理容器12的内侧的清扫的情况下的处理时间TP2为1100[s]的程度。
另一方面,反复进行20次包括薄膜形成步骤和薄膜形成步骤后进行的清扫步骤的流程SQ1的情况下的处理时间(称为处理时间TP3)的详情,由图20的长方形GR3表示。在长方形GR3中,附图标记ALD3所示的部分表示20次包括薄膜形成步骤和薄膜形成步骤后进行的清扫步骤的流程SQ1的处理时间。当使一次包括薄膜形成步骤和清扫步骤的流程SQ1的处理时间为45[s/次]的程度时,20次流程SQ1的处理时间为900[s](=45[s/次]×20[次])的程度。
如图21所示的那样,薄膜形成步骤的反复次数越多,上述的处理时间TP1和处理时间TP2变得越比本实施方式的上述的处理时间TP3,两者之差变得显著。
返回图1进行说明。在接着步骤ST6的步骤ST7中,以除去区域R11和区域R21的方式对保护膜SX进行蚀刻(凹蚀,etch back)。为了除去区域R11和区域R21,需要各向异性的蚀刻条件。因此,在步骤ST7中,从气源组40的多个气源的中选择的气源,经由气体供给管38和气体导入口36c,向处理容器12的处理空间Sp内供给含有碳氟化合物类气体的气体。
然后,从第一高频电源62供给高频电力。从第二高频电源64供给高频偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预先设定的压力。由此,能够生成碳氟化合物类气体的等离子体。
生成的等离子体中的含氟的活性种由高频偏置电力引入铅直方向,从而优先蚀刻区域R11和区域R21。其结果,如图5的(a)部所示的那样,能够选择性地除去区域R11和区域R21,由残留的区域R31来形成掩模MS。掩模MS、保护膜PF和掩模ALM构成有机膜OL的表面上的掩模MK2。
在接着步骤ST7的步骤ST8中,对有机膜OL进行蚀刻。具体而言,从气源组40的多个气源中选择的气源,经由气体供给管38和气体导入口36c向处理容器12的处理空间Sp内供给含有氮气和氢气的气体。
然后,从第一高频电源62供给高频电力。从第二高频电源64供给高频偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预先设定的压力。由此,能够生成含有氮气和氢气的气体的等离子体。
生成的等离子体中的氢的活性种,即氢自由基,对有机膜OL的全部区域中从掩模MK2露出的区域进行蚀刻。由此,如图5的(b)部所示的那样,由有机膜OL形成掩模OLM。此外,作为用于蚀刻有机膜OL的气体,也可以使用含氧を的气体。
在图1所示的方法MT中,接着步骤ST8,执行一次以上流程SQ2。流程SQ2是如图5的(b)部和图5的(c)部所示的那样,利用与ALE(Atomic Layer Etching,原子层蚀刻)法同样的方法,不论掩模OLM的疏密都以高选择比来对被蚀刻层EL中未被掩模OLM所覆盖的区域进行精密地蚀刻的步骤,在流程SQ2中包括依次执行的步骤ST9a、步骤ST9b、步骤ST9c、步骤ST9d。
步骤ST9a中,在处理容器12的处理空间Sp内生成气体G4的等离子体,如图5的(b)部所示的那样,在被蚀刻层EL的表面的原子层形成混合层MX,其中混合层MX含有包含于该等离子体的自由基。混合层MX形成在被蚀刻层EL中未被掩模OLM覆盖的区域的表面的原子层。在步骤ST9a中,在晶片W载置于静电吸盘ESC上的状态下,向处理容器12的处理空间Sp内供给气体G4,生成气体G4的等离子体。
气体G4是适用于含硅的被蚀刻层EL的蚀刻的蚀刻剂气体,例如包含碳氟化合物类气体和稀有气体,例如可以为CxFy/Ar气体。CxFy例如可以为CF4。具体而言,从气源组40的多个气源中选择的气源将包含碳氟化合物类气体和稀有气体的气体G4,经由气体供给管38和气体导入口36c供给到处理容器12的处理空间Sp内。
然后,从第一高频电源62供给高频电力,从第二高频电源64供给高频偏置电力,通过使排气装置50动作以将处理容器12的处理空间Sp内的空间的压力设定为预先设定的压力。如此,在处理容器12的处理空间Sp内生成气体G4的等离子体。气体G4的等离子体包含碳自由基和氟自由基。
在图9中,白底的圆(白圆)表示构成被蚀刻层EL的原子,涂黑的圆(黑圆)表示自由基,由圆包围的“+”表示后述的气体G5所包含的稀有气体的原子的离子(例如Ar原子的离子)。如图9的(a)部所示的那样,通过步骤ST9a,将气体G4的等离子体所包含的碳自由基和氟自由基供给到被蚀刻层EL的表面。
如此,通过步骤ST9a,如图5的(b)部所示的那样,在被蚀刻层EL的表面形成包含构成被蚀刻层EL的原子和碳自由基及氟自由基的混合层MX。
如上述的那样,由于气体G4包含碳氟化合物类气体,因此在步骤ST9a中,向被蚀刻层EL的表面的原子层供给氟自由基和碳自由基,能够在该原子层形成含有该两种自由基的混合层MX。
在接着步骤ST9a的步骤ST9b中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,将在步骤ST9a中供给的气体G4排气。可以为在步骤ST9b中,作为吹扫气体,向处理容器12的处理空间Sp内供给例如氮气或者稀有气体(例如Ar气体等)等的不活泼气体。即,步骤ST9b的吹扫可以为使不活泼气体在处理容器12的处理空间Sp内流动的气体吹扫或者通过抽真空进行的吹扫的任一者。
在接着步骤ST9b的步骤ST9c中,在处理容器12的处理空间Sp内生成气体G5的等离子体,对该等离子体施加偏置电压,以除去混合层MX。气体G5包含稀有气体,可以包含例如Ar气体。
具体而言,从气源组40的多个气源中选择的气源将包含稀有气体(例如Ar气体)的气体G5经由气体供给管38和气体导入口36c供给到处理容器12的处理空间Sp内,从第一高频电源62供给高频电力,从第二高频电源64供给高频偏置电力,使排气装置50动作从而将处理容器12的处理空间Sp内的空间的压力设定为预先设定的压力。如此,在处理容器12的处理空间Sp内生成气体G5的等离子体。
生成的等离子体中的气体G5的原子的离子(例如Ar原子的离子)通过高频偏置电力向铅直方向吸引,从而冲撞被蚀刻层EL的表面的混合层MX,对该混合层MX供给能量。如图9的(b)部所示的那样,通过步骤ST9c,由气体G5的原子的离子对形成于被蚀刻层EL的表面的混合层MX供给能量,利用该能量能够从被蚀刻层EL除去混合层MX。
如上述的那样,由于气体G5包含稀有气体,因此在步骤ST9c中,利用该稀有气体的等离子体因偏置电压而接收的能量,能够从该表面除去形成于被蚀刻层EL的表面的混合层MX。
在接着步骤ST9c的步骤ST9d中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,将在步骤ST9c中供给的气体G5排气。可以为在步骤ST9d中,作为吹扫气体,将例如氮气或者稀有气体(例如Ar气体等)等的不活泼气体供给到处理容器12。即,步骤ST9d的吹扫可以为使不活泼气体在处理容器12的处理空间Sp内流动的气体吹扫或者由抽真空进行的吹扫的任一者。
如图9的(c)部所示的那样,通过在步骤ST9d中进行的吹扫,能够充分地除去用于构成被蚀刻层EL的表面的混合层MX的原子和气体G5的等离子体所含的过剩的离子(例如Ar原子的离子)。
在接着流程SQ2的步骤ST10中,判断流程SQ2的执行是否结束。具体而言,在步骤ST10中,判断流程SQ2的执行次数是否达到了预先设定的次数。确定流程SQ2的执行次数即确定对被蚀刻层EL的蚀刻的程度(深度)。
能够反复执行流程SQ2,以将被蚀刻层EL蚀刻至基片SB的表面。即,能够确定流程SQ2的执行次数,使得通过执行一次(单位循环)流程SQ2,使要蚀刻的被蚀刻层EL的厚度与流程SQ2的执行次数的积为被蚀刻层EL本身的整个厚度。因此,能够按照被蚀刻层EL的厚度来设定流程SQ2的执行次数。
在步骤ST10中,判断为流程SQ2的执行次数未达到预先设定的次数的情况下(步骤ST10:否),在此反复执行流程SQ2。另一方面,在步骤ST10中,判断为流程SQ2的执行次数达到了预先设定的次数的情况下(步骤ST10:是),结束执行流程SQ2。
由流程SQ1的薄膜形成步骤(步骤ST5a~步骤ST5d)形成于处理容器12的内侧的薄膜SXa(更具体而言,为形成于处理容器12的内侧的薄膜SXa中由清扫步骤(步骤ST5e,步骤ST5f)的清扫后所残留的部分,如图10的状态CON2所示的状态的薄膜SXa),如图10的状态CON1所示的那样,能够通过如以上说明的包括流程SQ2和步骤ST10的步骤全部被除去。
如上述的那样,包括流程SQ2和步骤ST10的步骤中,通过与ALE法相同的方法,使用掩模OLM反复执行流程SQ2以按原子层除去被蚀刻层EL,能够精密地蚀刻被蚀刻层EL。
通过执行上述说明的图1所示的方法MT,作为一例,能够起到例如下述的效果。通过执行一次薄膜形成步骤(步骤ST5a~步骤ST5d),由于每形成一次薄膜就进行一次清扫步骤(步骤ST5e、步骤ST5f),因此通过对处理容器12内位于晶片W的上方的区域(处理容器12内上部电极30侧的区域)的清扫步骤来除去该薄膜变得容易。
另外,可以为在薄膜形成步骤中,利用气体G1在晶片W的主面形成反应前体(例如如图7的(b)部所示的层Ly1),利用气体G2对该反应前体进行处理以共形地形成薄膜。该薄膜也能够在处理容器12内形成,不过对于处理容器12内位于晶片W的上方的区域(处理容器12内上部电极30侧的区域),能够通过使用由处理容器12的上部电极30供给高频电力而生成的气体G3的等离子体来除去(清扫)薄膜。
此外,作为用于供给气体的构成,不限于图2所示的构成。即,也可以不使用图2所示的气体导入口36c、气体供给管38、气源组40、阀组42、流量控制器组45、气体导入口52a、气体供给管82,而使用图22所示的气体供给系统1。图22是气体供给系统1的概要图。图22所示的气体供给系统1是用于向等离子体处理装置10的处理容器12内的处理空间Sp供给气体的系统的一例。图22所示的气体供给系统1包括第一流路L1、第二流路L2、气体排出孔34a、气体排出孔34b、多个隔膜阀(隔膜阀DV1、隔膜阀DV2、隔膜阀DV3、隔膜阀DV4)。
第一流路L1与第一气体的第一气源GS1连接。第一流路L1形成于构成处理空间Sp的顶部的顶部部件(例如上部电极30)的内部或者处理容器12的侧壁的内部。多个气体排出孔34b与第一流路L1和处理空间Sp连通。第二流路L2与第二气体的第二气源GS2连接。第二流路L2形成于上述顶部部件的内部或者处理容器12的侧壁的内部。多个气体排出孔34a连通第二流路L2与处理空间Sp。多个隔膜阀(隔膜阀DV1~隔膜阀DV4)各自以与气体排出孔34b对应地设置于第一流路L1与气体排出孔34b之间。
参照图22和图23,进一步详细地说明气体供给系统1的构成。图23是使用图22所示的气体供给系统1的情况下上部电极30的概略的截面图。气体供给系统1包括第一气源GS1和第二气源GS2。第一气源GS1贮存第一气体。第二气源GS2贮存第二气体。第一气体和第二气体是任意的。作为一例,可以为第二气体是处理的主要气体,第一气体是处理的添加气体。另外,也可以为气体G1是从气体导入口52a导入处理空间Sp的气体,气体G2是从气体导入口36c导入处理空间Sp的气体。
气体供给系统1包括第一主流路L10和第二主流路L20。第一主流路L10通过供给口IN1连接第一气源GS1与处理容器12的第一流路L1。第二主流路L20通过供给口IN4连接第二气体的第二气源GS2与处理容器12的第二流路L2。第一主流路L10和第二主流路L20例如由配管形成。图22和图23所示的第二流路L2对应于图1所示的气体扩散室36a。
第一流路L1与第一气源GS1连接,形成于处理容器12的上部电极30(顶部部件的一例)的内部或者处理容器12的侧壁的内部。第一流路L1具有用于供给第一气体的供给口IN1和用于排出第一气体的排气口OT1,从供给口IN1延伸到排气口OT1。排气口OT1经由排气流路EK与用于对处理容器12进行排气的排气装置51连接。
第一流路L1与处理容器12内的处理空间Sp由多个气体排出孔34b连通。第一气体从分别与第一流路L1连接的多个气体排出孔34b被供给到处理容器12的处理空间Sp。
在第一流路L1与气体排出孔34b之间,与一个气体排出孔34b对应地设置有一个隔膜阀。即,气体供给系统1具有与多个气体排出孔34b对应的多个隔膜阀。作为一例,在图22中,表示了与四个气体排出孔34b对应的四个隔膜阀(隔膜阀DV1~隔膜阀DV4)。4个隔膜阀(隔膜阀DV1等)能够分别独立地动作。
隔膜阀的一例为开关阀(ON/OFF阀)。多个气体排出孔34b不限于4个,只要是2个以上即可。另外,多个隔膜阀只要与多个气体排出孔34b各自对应地设置即可,不限于4个。
也可以在第一流路L1与气体排出孔34b之间,与一气体排出孔34b对应地设置有一节流孔(orifice)。节流孔配置于比隔膜阀靠上流侧。作为一例,在图22中,表示了四个节流孔(节流孔OK1、节流孔OK2、节流孔OK3、节流孔OK4)。各隔膜阀能够控制从节流孔的出口向气体排出孔34b供给的第一气体的供给时刻。多个节流孔与多个气体排出孔34b各自对应地设置即可,不限于4个。
第二流路L2与第二气源GS2连接,形成于处理容器12的上部电极30的内部或者处理容器12的侧壁的内部。第二流路L2与多个气体排出孔34a连接。第二气体从与第二流路L2分别连接的多个气体排出孔34a被供给到处理容器12的处理空间Sp。
气体供给系统1也可以包括压力式流量控制装置FC。压力式流量控制装置FC配置在第二主流路L20中的第二气源GS2的下游侧。在压力式流量控制装置FC的上流侧设置有一次阀VL4,在压力式流量控制装置FC的下游侧设置有二次阀VL5。
此外,流量控制装置不限于压力式流量控制装置,也可以为热式流量控制装置或者基于其他原理的流量控制装置。
第二气源GS2的第二气体由压力式流量控制装置FC来调节流量和压力,经由供给口IN4被供给到处理容器12的第二流路L2。
气体供给系统1还可以包括控制阀VL1。控制阀VL1配置在第一主流路L10上的第一气源GS1的下游侧。控制阀VL1设置于供给口IN1的上流,将要供给到供给口IN1的第一气体控制为预先设定的压力。
控制阀VL1与压力式流量控制装置FC所具有的控制阀具有相同的功能。也可以在控制阀VL1与供给口IN1之间的流路,配置第一压力检测器PM1。
作为一例,控制阀VL1根据第一压力检测器PM1的检测结果来控制第一气体的流量。作为更具体的一例,控制电路C1确定控制阀VL1的动作。
对控制电路C1输入由第一压力检测器PM1检测的压力,进行检测的压力的流量运算。然后,控制电路C1比较设定的目标流量与计算的流量,确定控制阀VL1的动作以减小上述流量之差。
此外,也可以在第一气源GS1与控制阀VL1之间设置有一次阀。也可以车载控制阀VL1的下游且第一压力检测器PM1的上流设置有二次阀。另外,也可以将控制电路C1和控制阀VL1作为单元U1而单元化。
气体供给系统1有时还包括用于检测从排气口OT1排气的第一气体的压力的第二压力检测器PM2。该情况下,作为一例,控制阀VL1根据第一压力检测器PM1和第二压力检测器PM2的检测结果,来控制第一气体的流量。
更具体而言,根据第一压力检测器PM1的检测结果与第二压力检测器PM2的检测结果,来计算各节流孔的配置位置的第一气体的压力。然后,根据压力的计算结果,由各隔膜阀来控制的第一气体的供给时刻。
气体供给系统1有时包括用于检测第一流路L1中的第一气体的温度的温度检测器TM(参照图23)。该情况下,控制阀VL1与设置于压力式流量控制装置FC的控制阀同样,使用温度检测器TM来进行流量校正。具体而言,控制阀VL1根据温度检测器TM的检测结果来控制第一气体的流量。
第一气源GS1的第一气体由控制阀VL1来调节流量和压力,经由供给口IN1被供给到处理容器12的第一流路L1。此外,也可以在第一流路L1的排气口OT1设置有排气用节流孔OKEx。
等离子体处理装置10的控制部Cnt在气体供给系统1中使控制阀VL1、多个隔膜阀(隔膜阀DV1~隔膜阀DV4等)动作。
控制部Cnt在气体供给系统1中输入储存于储存部的方案,对使控制阀VL1动作的控制电路C1输出信号。控制部Cnt在气体供给系统1中储存于储存部的方案,控制多个隔膜阀(隔膜阀DV1~隔膜阀DV4等)的开闭动作。控制部Cnt在气体供给系统1中能够通过控制电路C1使排气装置51动作。另外,在控制部Cnt的储存部中可读取地存储有用于执行方法MT的计算机程序和在执行方法MT中使用的各种数据(例如,对应数据DT)。
排气口12e经由排气管52与排气装置50和排气装置51连接。排气装置50是涡轮分子泵,排气装置51是干式泵(dry pump)。排气装置50相对于处理容器12设置于比排气装置51更靠上流侧处。
排气装置50与排气装置51之间的配管与气体供给系统1的排气流路EK连接。通过在排气装置50与排气装置51之间连接排气流路EK,能够抑制从排气流路EK向处理容器12内去的气体的逆流。
如图23所示,在上部电极30的电极支承体36的内部设置有在水平方向延伸的第一流路L1和第二流路L2。第一流路L1位于第二流路L2的下方。
在电极支承体36设置有连接第一流路L1和在第一流路L1的下方延伸的多个气体排出孔34b的多个气体通流孔36d。电极支承体36的第一流路L1与气体排出孔34b之间设置有节流孔OK1和隔膜阀DV1。在隔膜阀DV1的下部配置用于发挥阀功能的封固部件74。
封固部件74能够由具有挠性的部件构成。封固部件74例如可以为弹性部件、隔膜、波纹管等。
在隔膜阀DV1打开的时候,在第一流路L1中流动的第一气体通过节流孔OK1的出口、气体通流孔36d和气体排出孔34b,供给到处理空间Sp。其他气体排出孔34b也具有相同的构成。此外,在电极支承体36设置有用于控制阀VL1进行流量校正的温度检测器TM。
在电极支承体36设置有连接第二流路L2和在第二流路L2的下方延伸的多个气体排出孔34a的多个气体通流孔36b。第二气体经由供给口IN4而被供给,通过多个气体通流孔36b、多个气体排出孔34a而被供给到处理空间Sp。
以上,优选实施方式中图示并说明了本发明的原理,不过本发明在不超出上述那样的原理的情况下能够在配置和详细内容上进行变更,本领域技术人员能够认识到这一点。本发明不限于本实施方式所公开的特定结构。因此,要求从权利要求的范围及其精神的范围而来的所有修改和变更的权利。

Claims (11)

1.一种被处理基片的处理方法,其中,该被处理基片配置在载置台上,所述载置台设置在能够在减压环境下进行等离子体处理的处理容器内的空间中,且具有按所述被处理基片的主面的多个区域中的每个区域设置的多个加热元件,在该空间中配置有与该载置台相对的能够被供给高频电力的上部电极,所述处理方法的特征在于:
在使用所述多个加热元件,对一个所述被处理基片的主面的多个区域中的每个区域执行了调节该被处理基片的所述主面的温度的温度调节步骤之后,反复执行流程,该流程中依次执行以下步骤,即:
向所述空间供给包含前体的材料的第一气体,使该前体吸附于所述被处理基片上所形成的图案的表面的步骤;
产生第二气体的等离子体,并将该等离子体供给到所述前体,在所述被处理基片的所述图案上形成沉积膜的步骤;和
在所述形成沉积膜的步骤之后,在所述被处理基片被载置于所述载置台的状态下,仅向所述上部电极供给电力来在所述空间中产生等离子体,从而除去所述处理容器的内侧表面中的所述上部电极侧的表面上所附着的薄膜的清扫步骤,
所述温度调节步骤使用预先获取的表示所述主面的温度与所述沉积膜的膜厚的对应关系的对应数据,对多个所述区域的每个区域调节该主面的温度,
所述处理方法还包括利用反复执行所述流程而形成的所述沉积膜,来对所述被处理基片进行蚀刻的步骤。
2.如权利要求1所述的被处理基片的处理方法,其特征在于:
所述第一气体是氨基硅烷类气体。
3.如权利要求1所述的被处理基片的处理方法,其特征在于:
所述第二气体含有氧或氮。
4.如权利要求1所述的被处理基片的处理方法,其特征在于:
在所述清扫步骤中,在所述空间中产生第三气体的等离子体,
所述第三气体包含卤化物。
5.如权利要求1所述的被处理基片的处理方法,其特征在于:
作为所述第一气体的氨基硅烷类气体包含具有1~3个硅原子的氨基硅烷。
6.如权利要求1所述的被处理基片的处理方法,其特征在于:
作为所述第一气体的氨基硅烷类气体包含具有1~3个氨基的氨基硅烷。
7.如权利要求1所述的被处理基片的处理方法,其特征在于:
所述第一气体包含卤化钨。
8.如权利要求1所述的被处理基片的处理方法,其特征在于:
所述第一气体包含四氯化钛或者四(二甲氨基)钛。
9.如权利要求1所述的被处理基片的处理方法,其特征在于:
所述第一气体包含卤化硼。
10.如权利要求1所述的被处理基片的处理方法,其特征在于:
所述第一气体包含供电子性的第一取代基,
所述第二气体包含吸电子性的第二取代基。
11.如权利要求1所述的被处理基片的处理方法,其特征在于:
所述形成沉积膜的步骤通过异氰酸酯与胺的聚合反应或者异氰酸酯与具有羟基的化合物的聚合反应,来形成所述沉积膜。
CN201910022599.1A 2018-01-10 2019-01-10 成膜方法 Active CN110029325B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018001930A JP7089881B2 (ja) 2018-01-10 2018-01-10 成膜方法
JP2018-001930 2018-01-10

Publications (2)

Publication Number Publication Date
CN110029325A CN110029325A (zh) 2019-07-19
CN110029325B true CN110029325B (zh) 2022-06-21

Family

ID=67140948

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910022599.1A Active CN110029325B (zh) 2018-01-10 2019-01-10 成膜方法

Country Status (4)

Country Link
US (1) US11367610B2 (zh)
JP (1) JP7089881B2 (zh)
KR (1) KR102623770B1 (zh)
CN (1) CN110029325B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101147248A (zh) * 2005-03-21 2008-03-19 东京毅力科创株式会社 等离子体增强原子层沉积系统和方法
CN103928285A (zh) * 2013-01-15 2014-07-16 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
CN107026081A (zh) * 2015-10-06 2017-08-08 东京毅力科创株式会社 对被处理体进行处理的方法
WO2017204159A1 (ja) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 被処理体を処理する方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
WO2003085717A1 (fr) 2002-04-08 2003-10-16 Tokyo Electron Limited Procede de gravure au plasma
KR100541195B1 (ko) 2003-05-09 2006-01-11 주식회사 아이피에스 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP4464979B2 (ja) 2007-03-05 2010-05-19 東京エレクトロン株式会社 処理システム、処理方法、及び、プログラム
JP5750496B2 (ja) 2013-12-11 2015-07-22 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
JP6584162B2 (ja) * 2015-06-22 2019-10-02 東京エレクトロン株式会社 積層封止膜形成方法および形成装置
JP5940199B1 (ja) * 2015-06-26 2016-06-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9721766B2 (en) 2015-10-06 2017-08-01 Tokyo Electron Limited Method for processing target object
WO2017170405A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
JP2019114692A (ja) 2017-12-25 2019-07-11 東京エレクトロン株式会社 成膜方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101147248A (zh) * 2005-03-21 2008-03-19 东京毅力科创株式会社 等离子体增强原子层沉积系统和方法
CN103928285A (zh) * 2013-01-15 2014-07-16 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
CN107026081A (zh) * 2015-10-06 2017-08-08 东京毅力科创株式会社 对被处理体进行处理的方法
WO2017204159A1 (ja) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 被処理体を処理する方法

Also Published As

Publication number Publication date
US11367610B2 (en) 2022-06-21
US20190214246A1 (en) 2019-07-11
JP2019119918A (ja) 2019-07-22
CN110029325A (zh) 2019-07-19
KR102623770B1 (ko) 2024-01-10
TW201933479A (zh) 2019-08-16
KR20190085476A (ko) 2019-07-18
JP7089881B2 (ja) 2022-06-23

Similar Documents

Publication Publication Date Title
US10777422B2 (en) Method for processing target object
US9859126B2 (en) Method for processing target object
US20190198321A1 (en) Film forming method
CN107026081B (zh) 对被处理体进行处理的方法
EP3051576B1 (en) Method of processing a target object comprising forming a silicon oxide film
CN108735596B (zh) 处理被处理体的方法
US9607811B2 (en) Workpiece processing method
US10626497B2 (en) Method for cleaning components of plasma processing apparatus
US10763123B2 (en) Method for processing workpiece
CN107731677B (zh) 处理被处理体的方法
KR20180025202A (ko) 피처리체를 처리하는 방법
KR102650948B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
TWI724198B (zh) 對被處理體進行處理之方法
CN110029325B (zh) 成膜方法
US11292035B2 (en) Method for cleaning gas supply line and processing system
US9721766B2 (en) Method for processing target object
TWI833726B (zh) 成膜方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant