KR102604675B1 - Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들 - Google Patents

Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR102604675B1
KR102604675B1 KR1020220174324A KR20220174324A KR102604675B1 KR 102604675 B1 KR102604675 B1 KR 102604675B1 KR 1020220174324 A KR1020220174324 A KR 1020220174324A KR 20220174324 A KR20220174324 A KR 20220174324A KR 102604675 B1 KR102604675 B1 KR 102604675B1
Authority
KR
South Korea
Prior art keywords
plasma
substrate processing
cycle
processing chamber
purge
Prior art date
Application number
KR1020220174324A
Other languages
English (en)
Other versions
KR20230006775A (ko
Inventor
후 강
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230006775A publication Critical patent/KR20230006775A/ko
Priority to KR1020230159246A priority Critical patent/KR20230163962A/ko
Application granted granted Critical
Publication of KR102604675B1 publication Critical patent/KR102604675B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템을 동작시키기 위한 시스템들 및 방법들은 프로세싱 챔버 내의 기판 지지부 상에 배열된 기판을 프로세싱하는 것을 포함한다. 적어도 하나의 프리커서 및/또는 반응 가스가 프로세싱 동안 공급된다. 기판은 프로세싱 챔버로부터 제거된다. 캐리어 가스 및 퍼지 가스는 프로세싱 챔버로 선택적으로 공급된다. RF 플라즈마는 N 사이클 동안 프로세싱 챔버 내에서 생성되고, 여기서, N은 1보다 큰 정수이다. RF 플라즈마는 N 사이클들의 각각 동안, 제 1 기간에 대해 온되고 제 2 기간에 대해 오프된다. 퍼지 가스는 N 사이클들 각각의 적어도 일부 동안 공급된다.

Description

RF 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR REMOVING PARTICLES FROM A SUBSTRATE PROCESSING CHAMBER USING RF PLASMA CYCLING AND PURGING}
본 개시는 기판 프로세싱 시스템들에 관련되고, 보다 구체적으로, 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들에 관련된다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판 상에 막의 증착 및/또는 에칭을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 페데스탈, 정전 척, 플레이트, 등과 같은 기판 지지부를 갖는 프로세싱 챔버를 포함한다. 반도체 웨이퍼와 같은 기판은 기판 지지부 상에 배열될 수도 있다. CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 프로세스들에서, 하나 이상의 전구체들을 포함하는 가스 혼합물은 기판 상에 막을 증착하기 위해 프로세싱 챔버 내로 도입될 수도 있다. 일부 기판 프로세싱 시스템들에서, RF (radio frequency) 플라즈마가 화학 반응들을 활성화가하기 위해 사용될 수도 있다.
가스 상으로 발생하는 일부 화학 반응들은, 프로세싱이 완료된 후에도 프로세싱 챔버 내에 남을 수도 있는 입자들을 생성한다. 프로세싱 동안 생성된 입자들에 부가하여, 입자들이 또한 먼지 쌓인 업스트림 부품들 (parts), 챔버 누설 사고들, 부품들을 교체할 때 발생하는 오염, 및/또는 유지보수 동안 발생하는 오염으로 인해 프로세싱 챔버에 도달할 수도 있다.
일부 프로세스들에서, 프로세싱 챔버 내에 남아 있는 입자들을 제거하기 위해 기판이 프로세싱 챔버로부터 제거된 후 퍼지 가스가 사이클링 온 및 사이클링 오프한다 (cycled on and off). 퍼지 가스 사이클링을 사용하여 입자들을 제거하는 것은 비교적 긴 시간 (24 시간까지) 이 걸리고 프로세싱 챔버 내에서 수용가능한 레벨로 입자들을 제거하지 못할 수도 있다.
기판 프로세싱 시스템을 동작시키기 위한 방법은, a) 프로세싱 챔버 내의 기판 지지부 상에 배열된 기판을 프로세싱하는 단계로서, 전구체 가스 및/또는 반응 가스 중 적어도 하나가 상기 프로세싱 동안 공급되는, 상기 기판을 프로세싱하는 단계; b) 상기 프로세싱 챔버로부터 상기 기판을 제거하는 단계; c) 상기 프로세싱 챔버에 캐리어 가스 및 퍼지 가스를 선택적으로 공급하는 단계; d) N 사이클들 동안 상기 프로세싱 챔버 내에서 RF 플라즈마를 생성하는 단계로서, N은 1보다 큰 정수이고, 상기 RF 플라즈마는 상기 N 사이클들 각각 동안 제 1 기간에는 온 (on) 되고 제 2 기간에는 오프 (off) 되는, 상기 RF 플라즈마를 생성하는 단계; 및 e) 상기 RF 플라즈마의 상기 N 사이클들 각각의 적어도 일부 동안 상기 퍼지 가스를 공급하는 단계를 포함한다.
다른 특징들에서, 상기 퍼지 가스는 상기 N 사이클들 중 상기 제 1 기간 동안에는 공급되지 않고 상기 제 2 기간의 적어도 일부 동안 공급된다. 상기 전구체 가스 및/또는 상기 반응 가스 중 상기 적어도 하나는 (c) 또는 (d) 또는 (e) 동안에는 공급되지 않는다. 단계 (a) 는 RF 플라즈마를 사용하여 막을 증착하는 단계를 포함한다. 단계 (a) 는 ALD (atomic layer deposition) 및 CVD (chemical vapor deposition) 중 하나를 포함한다.
다른 특징들에서, ALD 및 CVD 중 상기 하나는 RF 플라즈마를 채용한다. 상기 N 사이클들의 듀티 사이클은 25 % 내지 75 %이다. 단계 (c) 는 단계 (d) 및 (e) 동안 수행된다.
다른 특징들에서, 상기 N 사이클들 각각은 1 내지 5초의 지속기간을 갖는다. N은 100 이상 5000 이하이다. 상기 N 사이클들의 듀티 사이클 및/또는 상기 N 사이클들의 지속기간 중 적어도 하나는 상기 N 사이클들 동안 가변된다.
기판 프로세싱 시스템은, 프로세싱 동안 기판을 지지하기 위한 기판 지지부를 포함하는 프로세싱 챔버를 포함한다. 가스 공급부는 상기 프로세싱 동안 전구체 가스 및/또는 반응 가스 중 적어도 하나, 캐리어 가스, 및 퍼지 가스를 선택적으로 공급한다. 제어기는, a) 상기 프로세싱 챔버로부터 상기 기판이 제거된 후, 상기 프로세싱 챔버에 상기 캐리어 가스를 공급하고; b) N 사이클들 동안 상기 프로세싱 챔버 내에서 RF 플라즈마를 생성하고, 여기서, N은 1보다 큰 정수이고, 상기 RF 플라즈마는 상기 N 사이클들 각각 동안 제 1 기간에는 온되고 제 2 기간에는 오프되고; 그리고 c) 상기 RF 플라즈마의 상기 N 사이클들 각각의 적어도 일부 동안 상기 퍼지 가스를 공급하도록 구성된다.
다른 특징들에서, 상기 퍼지 가스는 상기 N 사이클들 중 상기 제 1 기간 동안에는 공급되지 않고 상기 제 2 기간의 적어도 일부 동안 공급된다.
다른 특징들에서, 상기 제어기는 (a), (b) 및 (c) 동안 상기 전구체 가스 및 상기 반응 가스를 공급하지 않도록 구성된다. 상기 기판 프로세싱 시스템은 RF 플라즈마를 사용하여 막을 증착한다. 상기 기판 프로세싱 시스템은 ALD (atomic layer deposition) 및 CVD (chemical vapor deposition) 중 하나를 수행한다. ALD 및 CVD 중 상기 하나는 RF 플라즈마를 채용한다. 상기 제어기는 상기 N 사이클들의 듀티 사이클을 25 % 내지 75 %로 제어하도록 구성된다.
다른 특징들에서, 상기 제어기는 (b) 및 (c) 동안 상기 캐리어 가스를 공급하도록 구성된다. 상기 N 사이클들 각각은 1 내지 5초의 지속기간을 갖는다. N은 100 이상 5000 이하이다. 상기 N 사이클들의 듀티 사이클 및/또는 상기 N 사이클들의 지속기간 중 적어도 하나는 상기 N 사이클들 동안 가변된다.
기판 프로세싱 시스템을 동작시키기 위한 방법은, a) 프로세싱 챔버 내의 기판 지지부로부터 기판을 제거하는 단계; b) 상기 프로세싱 챔버에 캐리어 가스 및 퍼지 가스를 선택적으로 공급하는 단계; c) N 사이클들 동안 상기 프로세싱 챔버 내에서 RF 플라즈마를 생성하는 단계로서, N은 1보다 큰 정수이고, 상기 RF 플라즈마는 상기 N 사이클들 각각 동안 제 1 기간에는 온되고 제 2 기간에는 오프되는, 상기 RF 플라즈마를 생성하는 단계; 및 d) 상기 RF 플라즈마의 상기 N 사이클들 각각의 적어도 일부 동안 상기 퍼지 가스를 공급하는 단계를 포함한다.
다른 특징들에서, 퍼지 가스는 상기 N 사이클들 중 상기 제 1 기간 동안에는 공급되지 않고 상기 제 2 기간의 적어도 일부 동안 공급된다.
기판 프로세싱 시스템은, 프로세싱 동안 기판을 지지하기 위한 기판 지지부를 포함하는 프로세싱 챔버를 포함한다. 가스 공급부는 상기 프로세싱 동안 캐리어 가스 및 퍼지 가스를 공급한다. 제어기는, a) 상기 프로세싱 챔버로부터 상기 기판이 제거된 후, 상기 프로세싱 챔버에 상기 캐리어 가스를 공급하고; b) N 사이클들 동안 상기 프로세싱 챔버 내에서 RF 플라즈마를 생성하고, 여기서, N은 1보다 큰 정수이고, 상기 RF 플라즈마는 상기 N 사이클들 각각 동안 제 1 기간에는 온되고 제 2 기간에는 오프되고; 그리고 c) 상기 RF 플라즈마의 상기 N 사이클들 각각의 적어도 일부 동안 상기 퍼지 가스를 공급하도록 구성된다.
다른 특징들에서, 퍼지 가스는 상기 N 사이클들 중 상기 제 1 기간 동안에는 공급되지 않고 상기 제 2 기간의 적어도 일부 동안 공급된다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 예시만을 목적으로 의도되고 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 ALD (atomic layer deposition) 를 수행하기 위한 방법의 예를 예시하는 플로우차트이다.
도 3은 본 개시에 따른 기판 프로세싱 챔버로부터 입자들을 제거하는 방법의 예를 예시하는 플로우차트이다.
도 4는 본 개시에 따른 기판 프로세싱 챔버로부터 입자들을 제거하는 방법의 또 다른 예를 예시하는 플로우차트이다.
도 5 및 도 6은 본 개시에 따른 프로세싱 챔버로부터 입자들을 제거할 때 전구체, 반응 가스, 캐리어 가스, 퍼지 가스, 및 RF 플라즈마를 공급하기 위한 제어 신호들의 타이밍을 예시하는 그래프들이다.
도면들에서, 참조 번호들은 유사한 및/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
프로세싱 챔버 내에서 입자들을 제거하기 위해 퍼지 가스를 사이클링하는 것은 매우 효율적이지 않다. 프로세싱 챔버 내에서 입자들의 제거 시 퍼지 가스의 비교적 낮은 효율은 부분적으로, 프로세싱 챔버의 표면들 상에 입자들이 들러붙게 (stick) 하는, 정전력 (electrostatic force) 으로 인한 것일 수도 있다. 부가적으로, 입자들은 정전력으로 인해 또한 모일 수도 있고 샤워헤드와 같은 프로세싱 챔버의 컴포넌트들 내부에 트랩 (trap) 될 수도 있다. 정전력에 의해 홀딩된 입자들은 퍼지 가스를 사이클링하는 것에 제거하기 힘들다.
본 개시는 프로세싱 챔버들로부터 입자들을 제거하기 위한 시스템들 및 방법들에 관련된다. 본 명세서에 개시된 바와 같이, 기판이 제거된 후, 프로세싱 챔버 내의 입자들은 RF 플라즈마 및 퍼지 가스를 사이클링함으로써 제거될 수도 있다. 일부 예들에서, RF 플라즈마 사이클들의 타이밍은 ALD 막 증착 동안 사용된 사이클링과 유사하다.
일부 예들에서, 반응 가스들 및 전구체는 입자들의 제거 동안 공급되지 않는다. 캐리어 가스들이 공급되고 RF 플라즈마는 사이클링 온 및 사이클링 오프된다. 연속적인 또는 펄싱된 퍼지 가스가 프로세싱 챔버로부터 입자들을 제거하기 위해 사용된다.
일부 예들에서, 본 개시에 따른 시스템들 및 방법들은 ALD 또는 PEALD 프로세스들에 의해 막을 증착하기 위해 사용된 프로세싱 챔버들로부터 입자들을 제거하기 위해 사용될 수도 있다. 예시적인 막 타입들은 SiO2, SiN, SiCN, SiC, 귀금속들 (noble metals), 및 란타나이드 옥사이드, 4족 금속 옥사이드들 및 5족 금속 옥사이드들을 포함하는 고 K 재료들을 포함하지만, 다른 타입들의 막 및/또는 다른 프로세스들을 수반할 수도 있다. 예를 들어, 본 개시는 또한 CVD 또는 PECVD 프로세스들에 의해 막을 증착하기 위해 사용된 프로세싱 챔버들로부터 입자들을 제거하기 위해 사용될 수도 있다. 예시적인 막 타입들은 SiO2, SiN, TEOS, SiC, SiCN, 및 AHM을 포함하지만, 다른 타입들의 막 및/또는 다른 프로세스들이 사용될 수도 있다.
본 개시에 따라, 기판은 프로세싱 후에 제거되고, 캐리어 가스가 공급되고, RF 플라즈마를 스트라이크 (stike) 하기 위해 RF 전력이 사이클링 온 및 사이클링 오프된다. 일부 예들에서, RF 사이클링은 ALD 막 증착에 사용된 타이밍과 유사한 타이밍을 가질 수도 있다. 일부 예들에서, 기판은 로봇 또는 인덱싱 메커니즘을 사용하여 제거된다. 프리커서 및 반응 가스들은 RF 사이클링 동안 공급되지 않는다. RF 사이클링 동안, 연속적인 또는 펄싱된 퍼지 가스가 프로세싱 챔버로부터 입자들을 제거하기 위해 사용될 수도 있다.
RF 사이클링 및 퍼지는 프로세싱 챔버 내에서 표면들 상에 정전기적으로 들러붙은 입자들을 방출하는 것을 도울 수도 있다. 입자들은 RF 사이클링 동안 방출되고 퍼지 가스와 함께 프로세싱 챔버를 나간다. 부가적으로, RF 플라즈마에 의해 대전된 (charged) 입자들은, 입자 집단이 퍼지 가스 플로우에 의해 프로세싱 챔버 외부로 인출되도록, 서로 밀어낸다.
본 개시에 따른 RF 사이클링 및 퍼지의 장점들은 프로세싱 챔버 내의 입자 수를 미리결정된 값 이하로 저감시키기 위해 필요한 시간을 상당히 감소시키는 것을 포함한다. 예를 들어, 본 명세서에 기술된 바와 같이 2 내지 3 시간의 RF 사이클링 및 퍼지는 24 내지 48 시간의 가스만의 사이클링 퍼지보다 양호한 입자 감소 성능을 가질 수도 있다. 그 결과, 프로세싱 챔버 유지보수-후 컨디셔닝 시간이 상당히 감소된다.
이제 도 1을 참조하면, RF 사이클링 및 퍼지를 사용하여 기계적 입자들을 제거하기 위한 기판 프로세싱 시스템 (10) 의 예가 도시된다. 기판 프로세싱 시스템 (10) 은 프로세싱 챔버 (12) 를 포함한다. 샤워헤드와 같은 가스 분배 디바이스 (14) 또는 다른 디바이스를 사용하여 가스가 프로세싱 챔버 (12) 에 공급될 수도 있다. 반도체 웨이퍼와 같은 기판 (18) 이 프로세싱 동안 기판 지지부 (16) 상에 배열될 수도 있다. 기판 지지부 (16) 는 페데스탈, 정전 척, 기계 척, 또는 다른 타입의 기판 지지부를 포함할 수도 있다.
가스 전달 시스템 (20) 은 하나 이상의 가스 소스들 (22-1, 22-2, ..., 및 22-N) (집합적으로 가스 소스들 (22)) 을 포함할 수도 있고, n은 1보다 큰 정수이다. 밸브들 (24-1, 24-2, ..., 및 24-N) (집합적으로 밸브들 (24)), 질량 유량 제어기들 (mass flow controllers) (26-1, 26-2, ..., 및 26-N) (집합적으로 질량 유량 제어기들 (26)), 또는 다른 유량 제어 디바이스들이, 전구체, 반응 가스들, 불활성 가스들, 퍼지 가스들, 및 이들의 혼합물들을 매니폴드 (30) 로 제어가능하게 공급하기 위해 사용될 수도 있고, 매니폴드는 프로세싱 챔버 (12) 에 가스 혼합물을 공급한다.
제어기 (40) 는 (센서들 (41) 을 사용하여) 온도, 압력 등과 같은 프로세스 파라미터들을 모니터링하고 프로세스 타이밍을 제어하기 위해 사용될 수도 있다. 제어기 (40) 는 가스 전달 시스템 (20), 페데스탈 히터 (42), 및/또는 플라즈마 생성기 (46) 와 같은 프로세스 디바이스들을 제어하도록 사용될 수도 있다. 제어기 (40) 는 또한 밸브 (50) 및 펌프 (52) 를 사용하여 프로세싱 챔버 (12) 를 배기하도록 사용될 수도 있다.
RF 플라즈마 생성기 (46) 는 프로세싱 챔버 내에서 RF 플라즈마를 생성한다. RF 플라즈마 생성기 (46) 는 유도성-타입 또는 용량성-타입 RF 플라즈마 생성기일 수도 있다. 일부 예들에서, RF 플라즈마 생성기 (46) 는 RF 공급부 (60) 및 매칭 및 분배 네트워크 (64) 를 포함할 수도 있다. RF 플라즈마 생성기 (46) 가 접지되거나 플로팅하는 페데스탈을 사용하여 가스 분배 디바이스 (14) 에 접속된 것으로 도시되지만, RF 생성기 (46) 는 기판 지지부 (16) 에 접속될 수 있고, 가스 분배 디바이스 (14) 는 접지되거나 플로팅할 수 있다.
이제 도 2를 참조하면, ALD (atomic layer deposition) 를 수행하는 방법의 예가 도시된다. ALD 프로세스가 예시를 목적으로 도시되었지만, 본 명세서에 기술된 시스템들 및 방법들은 이로 제한되는 것은 아니지만, CVD, PECVD, PEALD, 등을 포함하는, 다른 타입들의 프로세스들에 적용할 수 있다.
104에서, 기판이 프로세싱 챔버 내에 배열된다. 하나 이상의 캐리어 가스들 또는 불활성 가스들과 같은 프로세스 가스들이 프로세싱 챔버로 공급될 수도 있다. 106에서, 제 1 전구체가 제 1 기간 동안 프로세싱 챔버에 공급된다. 제 1 기간 후에, 프로세싱 챔버가 110에서 퍼지된다. 114에서, 제 1 전구체와 반응하기 위해 제 2 전구체가 제 2 기간 동안 프로세싱 챔버에 공급될 수도 있다. 대안적으로 또는 부가적으로, 제 1 전구체를 변환하기 위해 RF 플라즈마가 프로세싱 챔버 내에서 스트라이킹될 수도 있다. 제 2 기간 후에, 프로세싱 챔버가 116에서 퍼지된다. 120에서, 하나 이상의 부가적인 ALD 사이클들이 수행되고 제어는 106으로 돌아간다. 그렇지 않으면, ALD 사이클들이 완료될 때, 제어가 종료된다. 프로세싱이 종료될 때, 기판이 프로세싱 챔버로부터 제거된다.
이제 도 3을 참조하면, 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 방법의 예가 도시된다. 본 명세서에 기술된 시스템들 및 방법들은 기판이 프로세싱 챔버로부터 제거된 후 수행된다. 본 예에서, 퍼지 가스는 RF 플라즈마 사이클링 및 퍼지 동안 남는다. 204에서, 기판이 프로세싱 챔버로부터 제거된다. 206에서, 캐리어 가스가 프로세싱 챔버에 공급된다. 210에서, 퍼지 가스가 프로세싱 챔버에 공급된다. 214에서, RF 플라즈마가 미리결정된 기간 동안 스트라이킹된다. 216에서, RF 플라즈마가 미리결정된 기간 후에 소화된다 (extinguished). 부가적인 RF 플라즈마 사이클들이 수행되고 (220에서 결정된 바에 따라), 제어는 206으로 계속된다. 미리결정된 수의 사이클들이 완료될 때, 제어가 종료된다.
이제 도 4를 참조하면, 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 방법의 또 다른 예가 도시된다. 본 예에서, 퍼지 가스는 RF 신호가 턴 오프된 후 사이클링 온 및 사이클링 오프된다. 304에서, 기판은 프로세싱 챔버로부터 제거된다. 306에서, 캐리어 가스가 프로세싱 챔버에 공급된다. 314에서, RF 플라즈마가 제 1 미리결정된 기간 동안 스트라이킹된다. 316에서, RF 플라즈마는 제 1 미리결정된 기간 후에 소화된다. 318에서, RF 플라즈마가 소화된 후 프로세싱 챔버는 퍼지 가스를 사용하여 제 2 미리결정된 기간 동안 퍼지된다. 부가적인 RF 플라즈마 및 퍼지 사이클들이 필요하다면, 제어는 306으로 계속된다. 충분한 수의 사이클들이 완료될 때, 제어가 종료된다.
이제 도 5 및 도 6을 참조하면, 전구체, 반응 가스, 캐리어 가스, 퍼지 가스 및 RF를 공급하기 위한 제어 신호들의 타이밍의 예들을 예시하는 그래프들이 도시된다. 도 5에 도시된 타이밍은 도 3에 도시된 방법에 대응한다. 전구체 및 반응 가스들은 프로세싱 챔버에 공급되지 않는다. 캐리어 가스 및 퍼지 가스는 RF 펄스 동안 공급된다.
도 6에 도시된 타이밍은 도 4에 기술된 방법에 대응한다. 전구체 및 반응 가스들은 RF 플라즈마 사이클링 및 퍼지 동안 프로세싱 챔버에 공급되지 않는다. 캐리어 가스는 RF 척킹 (chucking) 동안 공급된다. 퍼지 가스는 RF 펄스들의 하강 에지들 (falling edges) 후에 미리결정된 기간 동안 펄싱된다. 후속 RF 펄스의 상승 에지들 (leading edges) 전에 퍼지 가스가 미리결정된 기간 중단되는 것으로 도시되지만, 퍼지 가스는 또한 후속 펄스들의 상승 에지들 또는 상승 에지들 직후까지 공급될 수도 있다. 일부 예들에서, RF 사이클링의 듀티 사이클은 25 % 내지 75 %이다. 다른 예들에서, 듀티 사이클 및 기간은 입자 제거 동안 가변할 수 있다. 듀티 사이클 및/또는 지속기간을 가변하는 것은 입자들을 제거하는 것을 도울 수도 있다. 일부 예들에서, RF 사이클들은 1 내지 5초 길이이지만, 다른 지속기간들이 사용될 수도 있다. 일부 예들에서, 100 내지 5000 사이클들이 수행되지만, 부가적인 사이클 또는 보다 적은 사이클이 사용될 수도 있다. 일부 예들에서, 2000 내지 3000 사이클들이 수행되지만, 부가적인 사이클 또는 보다 적은 사이클이 사용될 수도 있다.
일 예에서, 프로세싱 챔버는 챔버 누설 사고 후에 먼지가 쌓인다 (dusted). 10시간의 퍼지 가스만의 사이클링은 챔버 기계적 입자들을 1000 개의 가산기들 (adders) 까지 감소시킨다. 이러한 방법은 표 1에 나타낸 바와 같이, 챔버 기계적 입자들을 0.06 ㎛로 30 개의 가산기들보다 적게 추가로 감소시키기 위해 추가 22시간의 퍼지 가스-만의 사이클링을 필요로 한다:
입자 테스트 입자 가산기들
누설 사고 후 툴 복구 >0.06 >0.12
10시간 동안 가스-만의 사이클 퍼지를 실행
기계적 가스만 입자 테스트 400 사이클들 1141 213
추가 10시간 동안 가스-만의 사이클 퍼지를 실행
기계적 가스만 입자 테스트 400 사이클들 478 101
추가 12시간 동안 가스-만의 사이클 퍼지를 실행
기계적 가스만 입자 테스트 400 사이클들 14 6
또 다른 예에서, 기판 프로세싱 툴은 샤워헤드 누설 문제 후에 ALD Ox 프로세스를 위해 고 입자 카운트를 겪는다. 24시간 퍼지 가스-만의 사이클링을 사용하여, 프로세스 챔버 기계적 입자 카운트는 100까지이다. 다음에, 2시간 동안 RF 사이클링 및 퍼지를 사용하여, 프로세싱 챔버 기계적 입자 카운트는 30 개의 가산기들까지 떨어진다. 추가 1시간의 RF 사이클링 및 퍼지가 표 2에 나타낸 바와 같이 기계적 입자 카운트를 추가로 감소시킨다:
누설로부터 툴 복구 0.04 0.05 0.06 0.08 0.1
ALD Ox 프로세스 A 100A 1434 1048 924 527 303
24시간 퍼지 가스 사이클링
기계적 가스만 입자 테스트 145 94 73 38 20
2시간 RF 척킹 퍼지
기계적 가스만 입자 테스트 33 27 23 14 6
1시간 RF 척킹 퍼지
기계적 가스만 입자 테스트 15 11 10 6 3
또 다른 예에서, 1시간의 RF 사이클링 및 퍼지는 800 개까지의 가산기들로부터 100 개까지 가산기들로 2000 Å 막 내 (in-film) 입자 카운트를 상당히 감소시키고 프로세싱 챔버 기계적 입자 카운트를 감소시킨다. 추가 2시간의 RF 사이클링 및 퍼지는 표 3에 나타낸 바와 같이 2000 Å 막 내 입자 카운트를 추가로 감소시킨다:
입자 테스트 0.06 0.08 0.1 0.12 0.16 0.2 0.5
ALD Ox 프로세스 B 2000A (2800 사이클들) 842 448 212 23
1시간의 RF-척킹과 함께 사이클 퍼지
기계적 가스만 입자 테스트 (2800 사이클들) 30 18 8 8 6 5 2
ALD Ox 프로세스 B 2000A (2800 사이클들) 130 40 20 7
2시간의 RF-척킹과 함께 사이클 퍼지
ALD Ox 프로세스 B 2000A (2800 사이클들) 34 11 9 5
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.이하의 정의들을 포함하는 본 출원에서, 용어 제어기는 용어 회로로 대체될 수도 있다. 용어 제어기는 ASIC (Application Specific Integrated Circuit); 디지털, 아날로그, 또는 혼합된 아날로그/디지털 개별 회로; 디지털, 아날로그, 또는 혼합된 아날로그/디지털 집적 회로; 조합형 논리 회로; FPGA (field programmable gate array); 코드를 실행하는 (공유된, 전용, 또는 그룹) 프로세서 회로; 프로세서 회로에 의해 실행된 코드를 저장하는 (공유된, 전용, 또는 그룹) 메모리 회로; 기술된 기능을 제공하는 다른 적합한 하드웨어 컴포넌트들; 또는 시스템-온-칩과 같은, 상기한 것들의 일부 또는 전부의 조합을 지칭하고, 이의 일부일 수도 있고, 또는 이를 포함할 수도 있다. 제어기는 하나 이상의 인터페이스 회로들을 포함할 수도 있다. 일부 예들에서, 인터페이스 회로들은 LAN (local area network), 인터넷, WAN (wide area network), 또는 이들의 조합들에 접속된 유선 인터페이스 또는 무선 인터페이스를 포함할 수도 있다. 본 개시의 임의의 주어진 제어기의 기능은 인터페이스 회로들을 통해 접속된 복수의 제어기들 중에 분배될 수도 있다. 예를 들어, 복수의 제어기들은 로드 밸런싱을 허용할 수도 있다. 추가의 예에서, 서버 (또한 원격 또는 클라우드로 공지됨) 제어기는 클라이언트 제어기를 대신하여, 일부 기능을 달성할 수도 있다.
상기에 사용된 바와 같이, 용어 코드는 소프트웨어, 펌웨어, 및/또는 마이크로코드를 포함할 수도 있고, 프로그램들, 루틴들, 함수들, 클래스들, 데이터 구조체들, 및/또는 객체들을 지칭할 수도 있다. 용어 공유된 프로세서 회로는 복수의 제어기들로부터의 일부 또는 모든 코드를 실행하는 단일 프로세서 회로를 포괄한다. 용어 그룹 프로세서 회로는 추가적인 프로세서 회로들과 조합하여, 하나 이상의 제어기들로부터의 일부 또는 모든 코드를 실행하는 프로세서 회로를 포괄한다. 복수의 프로세서 회로들에 대한 참조들은 개별 다이 상의 복수의 프로세서 회로들, 단일 다이 상의 복수의 프로세서 회로들, 단일 프로세서 회로의 복수의 코어들, 단일 프로세서 회로의 복수의 쓰레드들, 또는 상기한 것들의 조합을 포괄한다. 용어 공유된 메모리 회로는 복수의 제어기들로부터의 일부 또는 모든 코드를 저장하는 단일 메모리 회로를 포괄한다. 용어 그룹 메모리 회로는 추가적인 메모리들과 조합하여, 하나 이상의 제어기들로부터의 일부 또는 모든 코드를 저장하는 메모리 회로를 포괄한다.
용어 메모리는 용어 컴퓨터 판독가능 매체의 서브세트이다. 본 명세서에서 사용된 바와 같이, 용어 컴퓨터 판독가능 매체는 매체를 통해 (예를 들어, 반송파 상에서) 전파되는 일시적인 전자 신호 및 전자기 신호는 포괄하지 않는다; 따라서 용어 컴퓨터 판독가능 매체는 유형이고 비일시적인 것으로 간주될 수도 있다. 비일시적인, 유형의 컴퓨터 판독가능 매체의 비제한적인 예들은 (플래시 메모리 회로 또는 마스크 판독 전용 메모리 회로와 같은) 비휘발성 메모리 회로들, (SRAM (static random access memory) 회로 또는 DRAM (dynamic random access memory) 회로와 같은) 휘발성 메모리, (자기 테이프 또는 하드 디스크 드라이브와 같은) 자기 저장장치 및 광학 저장장치와 같은 2차 저장장치를 포함한다.
본 출원에 기술된 장치들 및 방법들은 컴퓨터 프로그램들로 구현된 하나 이상의 특정한 기능들을 실행하기 위해 범용 컴퓨터를 구성함으로써 생성된 특수 목적 컴퓨터에 의해 부분적으로 또는 완전히 구현될 수도 있다. 컴퓨터 프로그램들은 적어도 하나의 비일시적인, 유형의 컴퓨터 판독가능 매체 상에 저장된, 프로세서 실행가능 인스트럭션들을 포함한다. 컴퓨터 프로그램들은 또한 저장된 데이터를 포함하거나 저장된 데이터에 의존할 수도 있다. 컴퓨터 프로그램들은 특수 목적 컴퓨터의 하드웨어와 상호작용하는 BIOS (basic input/output system), 특수 목적 컴퓨터의 특정한 디바이스들과 상호작용하는 디바이스 드라이버들, 하나 이상의 운영 체제들, 사용자 애플리케이션들, 백그라운드 서비스들 및 애플리케이션들, 등을 포함할 수도 있다. 컴퓨터 프로그램들은: (i) 어셈블리 코드; (ii) 컴파일러에 의해 소스 코드로부터 생성된 객체 코드; (iii) 인터프리터에 의해 실행하기 위한 소스 코드; (iv) JIT 컴파일러 (just-in-time compiler) 에 의한 컴파일 및 실행을 위한 소스 코드; (v) HTML (hypertext markup language) 또는 XML (extensible markup language) 과 같은 파싱을 위한 기술 텍스트, 등을 포함할 수도 있다. 단지 예로서, 소스 코드는 C, C++, C#, Objective-C, Haskell, Go, SQL, Lisp, Java®, ASP, Perl, Javascript®, HTML5, Ada, ASP (active server pages), Perl, Scala, Erlang, Ruby, Flash®, Visual Basic®, Lua, 또는 Python®으로 작성될 수도 있다.
청구항들에 언급된 어떠한 엘리먼트도, 엘리먼트가 "을 위한 수단"이라는 구 또는 방법 청구항의 경우에, "을 위한 동작" 또는 "을 위한 단계"라는 구를 사용하여 명시적으로 언급되지 않는 한, 35 U.S.C. §112(f)의 의미 내에서 기능식 (means-plus-function) 엘리먼트로서 의도되지 않는다.

Claims (20)

  1. 코드를 저장하는 메모리 회로; 및
    상기 메모리 회로에 의해 저장된 상기 코드를 실행하기 위한 프로세서 회로로서, 상기 프로세서 회로에 의해 실행된 상기 코드는 기판 상에서 프로세스를 수행하는 것에 후속하여, 제 1 기간 및 제 2 기간을 각각 포함하는 복수의 플라즈마 사이클 및 퍼지 사이클을 수행하도록 기판 프로세싱 시스템의 플라즈마 생성기 및 가스 전달 시스템을 제어하기 위한 인스트럭션들을 포함하는, 상기 프로세서 회로를 포함하고,
    상기 플라즈마 사이클 및 상기 퍼지 사이클 각각의 상기 제 1 기간에서, 캐리어 가스가 기판 프로세싱 챔버로 공급되고 플라즈마가 상기 기판 프로세싱 챔버로 공급되는 퍼지 가스 없이 상기 기판 프로세싱 챔버 내에서 생성되고, 그리고
    상기 플라즈마 사이클 및 상기 퍼지 사이클 각각의 상기 제 2 기간에서, 상기 캐리어 가스는 상기 기판 프로세싱 챔버로 공급되고 상기 퍼지 가스는 상기 기판 프로세싱 챔버 내에서 상기 플라즈마를 생성하지 않고 상기 기판 프로세싱 챔버로 공급되는, 제어기.
  2. 제 1 항에 있어서,
    상기 코드는 상기 기판이 상기 기판 프로세싱 챔버로부터 제거되는 것에 후속하여 상기 가스 전달 시스템 및 상기 플라즈마 생성기를 제어하기 위한 인스트럭션들을 포함하는, 제어기.
  3. 제 1 항에 있어서,
    상기 코드는 상기 플라즈마의 생성이 중단된 후 상기 퍼지 가스를 공급하기 위한 인스트럭션들을 포함하는, 제어기.
  4. 제 1 항에 있어서,
    상기 코드는 상기 제 1 기간에 상기 플라즈마의 펄스를 공급하고 상기 플라즈마의 상기 펄스의 하강 에지 (falling edge) 에 후속하여 상기 제 2 기간에 상기 퍼지 가스의 펄스를 공급하기 위한 인스트럭션들을 포함하는, 제어기.
  5. 제 1 항에 있어서,
    상기 코드는 CVD (chemical vapor deposition) 및 ALD (atomic layer deposition) 중 하나를 수행하기 위한 인스트럭션들을 포함하는, 제어기.
  6. 제 1 항에 있어서,
    상기 코드는 상기 플라즈마 사이클 및 상기 퍼지 사이클의 듀티 사이클을 25 % 내지 75 %로 제어하기 위한 인스트럭션들을 포함하는, 제어기.
  7. 제 1 항에 있어서,
    상기 코드는 상기 플라즈마 사이클 및 상기 퍼지 사이클의 듀티 사이클을 가변시키고 그리고/또는 상기 플라즈마 사이클 및 상기 퍼지 사이클의 지속기간을 가변시키기 위한 인스트럭션들을 포함하는, 제어기.
  8. 제 1 항에 있어서,
    상기 코드는 1 내지 5 초의 지속기간 동안 상기 플라즈마 사이클 및 상기 퍼지 사이클 각각을 제어하기 위한 인스트럭션들을 포함하는, 제어기.
  9. 제 1 항에 있어서,
    상기 코드는 상기 플라즈마 사이클 및 상기 퍼지 사이클을 100 내지 5000 회 수행하기 위한 인스트럭션들을 포함하는, 제어기.
  10. 제 1 항에 기재된 제어기를 포함하는, 기판 프로세싱 시스템.
  11. 제 10 항에 있어서,
    상기 가스 전달 시스템, 상기 플라즈마 생성기, 및 상기 기판 프로세싱 챔버를 더 포함하는, 기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 기판 프로세싱 챔버는 상기 기판이 상기 기판 프로세싱 챔버로부터 제거되기 전에 상기 기판 상에서 CVD (chemical vapor deposition) 및 ALD (atomic layer deposition) 중 적어도 하나를 수행하도록 구성되는, 기판 프로세싱 시스템.
  13. 제 10 항에 있어서,
    상기 기판 프로세싱 챔버를 배기하기 위한 밸브 및 펌프를 더 포함하고, 상기 코드는 상기 기판 프로세싱 챔버를 배기하기 위한 상기 밸브 및 상기 펌프를 제어하기 위한 인스트럭션들을 포함하는, 기판 프로세싱 시스템.
  14. 기판 프로세싱 시스템을 동작시키는 방법에 있어서,
    기판 프로세싱 챔버 내에 배열된 기판 상에서 증착 프로세스를 수행하는 단계;
    상기 기판 프로세싱 챔버로부터 상기 기판을 제거하는 단계; 및
    제 1 기간 및 제 2 기간을 각각 포함하는 복수의 플라즈마 사이클 및 퍼지 사이클을 수행하도록 가스 전달 시스템 및 플라즈마 생성기를 제어하는 단계를 포함하고,
    상기 방법은, 상기 플라즈마 사이클 및 상기 퍼지 사이클 각각의 상기 제 1 기간에서, 상기 기판 프로세싱 챔버로 캐리어 가스를 공급하는 단계를 포함하고 플라즈마가 퍼지 가스를 상기 기판 프로세싱 챔버로 공급하지 않고 상기 기판 프로세싱 챔버 내에서 생성되고, 그리고
    상기 방법은, 상기 플라즈마 사이클 및 상기 퍼지 사이클 각각의 상기 제 2 기간에서, 상기 기판 프로세싱 챔버 내에서 상기 플라즈마를 생성하지 않고 상기 캐리어 가스 및 상기 퍼지 가스를 상기 기판 프로세싱 챔버로 공급하는 단계를 포함하는, 기판 프로세싱 시스템 동작 방법.
  15. 제 14 항에 있어서,
    상기 기판 프로세싱 챔버로 상기 퍼지 가스를 공급하는 단계 전에 상기 플라즈마의 생성을 중단하는 단계를 더 포함하는, 기판 프로세싱 시스템 동작 방법.
  16. 제 14 항에 있어서,
    상기 제 1 기간에 상기 플라즈마의 펄스를 공급하는 단계 및 상기 플라즈마의 상기 펄스의 하강 에지에 후속하여 상기 제 2 기간에 상기 퍼지 가스의 펄스를 공급하는 단계를 더 포함하는, 기판 프로세싱 시스템 동작 방법.
  17. 제 14 항에 있어서,
    상기 플라즈마 사이클 및 상기 퍼지 사이클의 듀티 사이클을 25 % 내지 75 %가 되도록 제어하는 단계를 더 포함하는, 기판 프로세싱 시스템 동작 방법.
  18. 제 14 항에 있어서,
    상기 플라즈마 사이클 및 상기 퍼지 사이클의 듀티 사이클을 가변시키는 단계 및/또는 상기 플라즈마 사이클 및 상기 퍼지 사이클의 지속기간을 가변시키는 단계를 더 포함하는, 기판 프로세싱 시스템 동작 방법.
  19. 제 14 항에 있어서,
    1 내지 5 초의 지속기간 동안 상기 플라즈마 사이클 및 상기 퍼지 사이클 각각을 제어하는 단계를 더 포함하는, 기판 프로세싱 시스템 동작 방법.
  20. 제 14 항에 있어서,
    상기 플라즈마 사이클 및 상기 퍼지 사이클을 100 내지 5000 회 수행하는 단계를 더 포함하는, 기판 프로세싱 시스템 동작 방법.
KR1020220174324A 2014-06-06 2022-12-14 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들 KR102604675B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230159246A KR20230163962A (ko) 2014-06-06 2023-11-16 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/297,745 2014-06-06
US14/297,745 US9478408B2 (en) 2014-06-06 2014-06-06 Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
KR1020220019116A KR102478794B1 (ko) 2014-06-06 2022-02-14 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020220019116A Division KR102478794B1 (ko) 2014-06-06 2022-02-14 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230159246A Division KR20230163962A (ko) 2014-06-06 2023-11-16 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20230006775A KR20230006775A (ko) 2023-01-11
KR102604675B1 true KR102604675B1 (ko) 2023-11-21

Family

ID=54769100

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020150077914A KR102364494B1 (ko) 2014-06-06 2015-06-02 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들
KR1020220019116A KR102478794B1 (ko) 2014-06-06 2022-02-14 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들
KR1020220174324A KR102604675B1 (ko) 2014-06-06 2022-12-14 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들
KR1020230159246A KR20230163962A (ko) 2014-06-06 2023-11-16 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020150077914A KR102364494B1 (ko) 2014-06-06 2015-06-02 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들
KR1020220019116A KR102478794B1 (ko) 2014-06-06 2022-02-14 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230159246A KR20230163962A (ko) 2014-06-06 2023-11-16 Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들

Country Status (5)

Country Link
US (2) US9478408B2 (ko)
KR (4) KR102364494B1 (ko)
CN (1) CN105316653B (ko)
SG (1) SG10201504320PA (ko)
TW (1) TWI671424B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
WO2018204500A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
SG11202105127TA (en) * 2018-11-30 2021-06-29 Lam Res Corp Throughput improvement with interval conditioning purging
KR102318538B1 (ko) * 2021-02-23 2021-10-28 (주)세미메카 기판 처리 장치 및 그 운용방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120040535A1 (en) 2010-08-13 2012-02-16 United Microelectronics Corp. Semiconductor process

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
DE3830249A1 (de) 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US5294320A (en) 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
JP3137682B2 (ja) 1991-08-12 2001-02-26 株式会社日立製作所 半導体装置の製造方法
US5232618A (en) 1991-09-30 1993-08-03 E. I. Du Pont De Nemours And Company Substantially constant boiling compositions of difluoromethane and trifluoroethane or perfluoroethane
JP2647585B2 (ja) 1991-11-28 1997-08-27 三菱電機株式会社 自動薄膜計測装置
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6245189B1 (en) 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US5573597A (en) 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
KR100192489B1 (ko) 1995-12-26 1999-06-15 구본준 용기를 갖는 습식에치 장치의 에치 종말점 측정방법
US5672242A (en) 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US5902494A (en) 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5858108A (en) 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
EP0933806A4 (en) * 1996-11-14 2003-01-22 Tokyo Electron Ltd CLEANING A PLASMA APPARATUS AND TREATMENT
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5924058A (en) 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US5919531A (en) 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
DE19713637C2 (de) 1997-04-02 1999-02-18 Max Planck Gesellschaft Teilchenmanipulierung
JP4120974B2 (ja) 1997-06-17 2008-07-16 キヤノンアネルバ株式会社 薄膜作製方法および薄膜作製装置
US5854138A (en) 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
DE19814871A1 (de) 1998-04-02 1999-10-07 Max Planck Gesellschaft Verfahren und Vorrichtung zur gezielten Teilchenmanipulierung und -deposition
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
JP4153606B2 (ja) 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6286685B1 (en) 1999-03-15 2001-09-11 Seh America, Inc. System and method for wafer thickness sorting
US6194234B1 (en) 1999-06-04 2001-02-27 Taiwan Semiconductor Manufacturing Company Method to evaluate hemisperical grain (HSG) polysilicon surface
JP3639868B2 (ja) 1999-06-29 2005-04-20 株式会社東北テクノアーチ プラズマ中微粒子ダストの処理方法及びその装置
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
GB0016562D0 (en) 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6841033B2 (en) 2001-03-21 2005-01-11 Nordson Corporation Material handling system and method for a multi-workpiece plasma treatment system
JP3555084B2 (ja) 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 半導体基板に対するプラズマ処理方法及び半導体基板のためのプラズマ処理装置
US6790376B1 (en) 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US6708559B2 (en) 2001-09-28 2004-03-23 Infineon Technologies Ag Direct, non-destructive measurement of recess depth in a wafer
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20040025903A1 (en) * 2002-08-09 2004-02-12 Howard Bradley J. Method of in-situ chamber cleaning
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6922603B1 (en) 2002-09-26 2005-07-26 Lam Research Corporation System and method for quantifying uniformity patterns for tool development and monitoring
US6642531B1 (en) 2002-12-23 2003-11-04 Intel Corporation Contamination control on lithography components
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US8608422B2 (en) 2003-10-08 2013-12-17 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
US7207339B2 (en) * 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
DE102004007952B3 (de) 2004-02-18 2005-09-01 Infineon Technologies Ag Verfahren zum Bestimmen der Tiefe von in einem Trägersubstrat ausgebildeten Vertiefungen
US7659212B2 (en) 2004-03-22 2010-02-09 Mimasu Semiconductor Industry Co., Ltd. Process control method in spin etching and spin etching apparatus
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4796965B2 (ja) 2004-07-02 2011-10-19 株式会社アルバック エッチング方法及び装置
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
KR100672820B1 (ko) 2004-11-12 2007-01-22 삼성전자주식회사 플라즈마를 사용한 피처리체의 처리 방법
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US7528386B2 (en) * 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
CN1873052A (zh) * 2006-04-14 2006-12-06 大连理工大学 用脉冲射频等离子体控制薄膜制备中的尘埃颗粒的方法
US7799138B2 (en) 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US20080053817A1 (en) 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
GB0620196D0 (en) 2006-10-11 2006-11-22 Metryx Ltd Measuring apparatus
US20080142481A1 (en) 2006-12-18 2008-06-19 White John M In-situ particle collector
GB0704936D0 (en) 2007-03-14 2007-04-25 Metryx Ltd Measuring apparatus
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR100876836B1 (ko) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
GB0719469D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0800227D0 (en) 2008-01-07 2008-02-13 Metryx Ltd Method of controlling semiconductor device fabrication
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
GB0804499D0 (en) 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
JP5397215B2 (ja) 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
CN101880867B (zh) * 2010-07-02 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体增强化学气相沉积装置
JP2012096432A (ja) 2010-11-01 2012-05-24 Sony Corp バリアフィルム及びその製造方法
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
US8501500B2 (en) 2011-06-20 2013-08-06 The Institute of Microelectronics, Chinese Academy of Science Method for monitoring the removal of polysilicon pseudo gates
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120040535A1 (en) 2010-08-13 2012-02-16 United Microelectronics Corp. Semiconductor process

Also Published As

Publication number Publication date
CN105316653B (zh) 2019-05-14
SG10201504320PA (en) 2016-01-28
KR20220024370A (ko) 2022-03-03
KR20230163962A (ko) 2023-12-01
TWI671424B (zh) 2019-09-11
KR102364494B1 (ko) 2022-02-16
US20150354059A1 (en) 2015-12-10
CN105316653A (zh) 2016-02-10
US9478408B2 (en) 2016-10-25
US20170011893A1 (en) 2017-01-12
KR20150140572A (ko) 2015-12-16
KR102478794B1 (ko) 2022-12-16
KR20230006775A (ko) 2023-01-11
US9899195B2 (en) 2018-02-20
TW201610211A (zh) 2016-03-16

Similar Documents

Publication Publication Date Title
KR102604675B1 (ko) Rf 플라즈마 사이클링 및 퍼지를 사용하여 기판 프로세싱 챔버로부터 입자들을 제거하기 위한 시스템들 및 방법들
JP7254042B2 (ja) 基板処理システムのためのdcバイアス回路及びガス供給システム
CN105185682B (zh) 空心阴极放电抑制电容耦合等离子体电极和气体分配面板
WO2019125640A1 (en) Method for forming square spacers
US10081869B2 (en) Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
JP6799550B2 (ja) プラズマ処理装置の部品をクリーニングする方法
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
EP3086356B1 (en) Method for etching organic film
US10053773B2 (en) Method of cleaning plasma processing apparatus
JP7061653B2 (ja) 被処理体を処理する方法
JP6799549B2 (ja) プラズマ処理装置の部品をクリーニングする方法
KR101919640B1 (ko) 에칭 동안 가스 스위칭과 rf 스위칭을 동기화시키기 위한 스펙트럼의 사용
JP2017504209A (ja) 炭素膜応力緩和
KR102623770B1 (ko) 성막 방법
KR102362462B1 (ko) 피처리체를 처리하는 방법
US20140179113A1 (en) Surface Treatment Methods and Systems for Substrate Processing
CN109417029B (zh) 对被处理体进行处理的方法
US8053747B2 (en) Substrate processing apparatus and cleaning method of the same
KR102362282B1 (ko) 피처리체를 처리하는 방법
KR20200004225A (ko) 플라즈마 원자층 증착공정을 이용한 선택적 박막 증착 방법
JP6280408B2 (ja) 処理ガス流量の決定方法
US10480978B2 (en) Method for inspecting flow rate controller and method for processing workpiece
US9105451B2 (en) Plasma processing method and plasma processing apparatus
Oomen Hydrogen plasma induced particle release
US9155180B1 (en) System and method of simultaneously fueling and mitigating debris for a plasma-based illumination source

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant