CN101068614A - 用于下游气体离解的方法和设备 - Google Patents

用于下游气体离解的方法和设备 Download PDF

Info

Publication number
CN101068614A
CN101068614A CNA2005800415193A CN200580041519A CN101068614A CN 101068614 A CN101068614 A CN 101068614A CN A2005800415193 A CNA2005800415193 A CN A2005800415193A CN 200580041519 A CN200580041519 A CN 200580041519A CN 101068614 A CN101068614 A CN 101068614A
Authority
CN
China
Prior art keywords
gas
plasma
downstream
chamber
gas downstream
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800415193A
Other languages
English (en)
Inventor
W·M·霍尔比
X·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of CN101068614A publication Critical patent/CN101068614A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J12/00Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0873Materials to be treated
    • B01J2219/0875Gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Sampling And Sample Adjustment (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于活化和离解气体的方法和设备,包括用位于一个室中的等离子体产生活化气体。相对于该室的出口设置下游气体进口,使活化气体能够促进通过该气体进口引入的下游气体的离解,其中离解的下游气体基本不会与所述室的内表面发生相互作用。

Description

用于下游气体离解的方法和设备
                         发明领域
本发明涉及用于活化气体的方法和设备。更具体地,本发明涉及用于产生离解气体的方法和设备以及用于用离解气体处理材料的设备和方法。
                         发明背景
等离子体常用于活化气体,使气体处于激发态,从而使气体具有增加的活性。气体的激发涉及提高气体的能量状态。在一些情况中,将气体激发,产生含有离子、自由基、原子和分子的离解气体。离解气体用于许多工业和科学用途,包括处理固体材料如半导体晶片、粉末和处理其它气体。离解气体的参数和处理材料暴露于离解气体的情况根据具体应用在很大的范围内变化。有时需要高能量的等离子体进行离解。
通过例如向等离子体气体(例如O2、N2、Ar、NF3、H2和He)或气体混合物施加足够量的电势,使至少一部分的气体电离,使等离子体源产生等离子体。等离子体可以各种方法产生,包括DC放电、射频(RF)放电和微波放电。通过在等离子体气体中在两个电极之间施加电势来得到DC放电等离子体。通过从电源向等离子体提供静电或感应耦合能量得到RF放电等离子体。使微波能量通过允许微波通过的窗口直接耦合进入含有等离子体气体的放电室,得到微波放电等离子体。等离子体通常包含在由铝之类的金属材料或石英之类的介电材料构成的室中。
在一些应用中,经过活化的气体可能与等离子体源不相容。例如,在半导体制造中,原子氧与光刻胶反应,通过将光刻胶转化为挥发性CO2和H2O副产物而将光刻胶从半导体晶片上除去。通常通过在等离子体源的等离子体室中用等离子体使O2(或含氧气体)离解来产生原子氧。等离子体室通常由石英制成,因为原子氧与石英的表面再结合率低。原子氟通常用于与原子氧结合,因为原子氟能够加快光刻胶去除过程。通过例如在等离子体室中用等离子体使NF3或CF4离解来产生氟。但是,氟是高腐蚀性的,会不利地与石英室发生反应。在类似的操作条件下,使用与氟相容的室材料(例如,蓝宝石或氮化铝)会降低原子氧产生的效率,增加处理成本,这是因为与氟相容的材料通常比石英更贵。
其中经过活化的气体与等离子体室的材料不相容的另一个应用涉及处于石英室中的含氢等离子体。激发的氢原子和分子可能会与石英(SiO2)反应,将石英转化为硅。例如,室材料组成的变化会导致处理参数出现不利的偏差,还会形成颗粒。在其它应用中,如果在处理过程中等离子体室中存在氮,则石英可能转化为Si3N4
因此,仍然需要能够在最大程度地减小离解气体对等离子体室不利影响的情况下用等离子体有效地离解气体。
                         发明概述
本发明一方面涉及用于活化和离解气体的方法。该方法包括在等离子体室中用等离子体产生活化气体。所述方法还包括相对于等离子体室的出口设置下游气体进口,这样能够促进活化气体离解从下游气体进口引入的下游气体,其中所述离解的下游气体基本上不与等离子体室的内表面反应。
在一些实施方式中,可以通过远程(remote)等离子体源产生等离子体。所述远程等离子体源可以是例如RF等离子体发生器、微波等离子体发生器或DC等离子体发生器。可由例如氧、氮、氦或氩产生等离子体。下游气体可包括卤素气体(例如NF3、CF4、CHF3、C2F6、C2HF5、C3F8、C4F8、XeF2、Cl2或ClF3)。下游气体可包括氟。室内表面可包括例如石英材料、蓝宝石材料、氧化铝、氮化铝、氧化钇、碳化硅、氮化硼或金属如铝、镍或不锈钢。室内表面可包括例如涂布的金属(例如,阳极化处理的铝)。在一些实施方式中,其它气体如H2、O2、N2、Ar、H2O和氨可用作下游气体。在一些实施方式中,下游气体包括一种或多种气体,所述气体包含待沉积到例如基材上的金属材料或半导体材料。金属材料或半导体材料可包括例如Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr或Al。在一些实施方式中,下游气体包括一种或多种气体,所述气体包含金属或半导体材料或包含金属或半导体材料的氧化物或氮化物。在一些实施方式中,下游气体包括烃类材料。
可以将下游气体从各个不同的位置引入到室中。在一些实施方式中,可以将下游气体从相对于室出口、能够最大程度地减小离解的下游气体与室内表面之间的相互作用的位置引入。可以将下游气体从相对于室出口、能够最大程度地增加下游气体离解的程度的位置引入。可以将下游气体从相对于室出口、能够使离解的下游气体与室内表面相互作用的程度与下游气体离解的程度达到平衡的位置引入。离解的下游气体可用于促进基材的蚀刻或清洁,或在基材上的沉积。
为了有助于保护等离子体室的表面,可以在接近等离子体室的出口和下游气体进口的位置安置屏障(例如,防护物或衬垫)。屏障(barrier)可由与活性气体化学相容的材料形成。在一些实施方式中,屏障是可以移动的,可以定期替换。屏障可由对活性气体具有充分耐受性的材料制成。屏障可以是或包括例如;蓝宝石材料,位于等离子体室的出口。屏障可以部分地位于等离子体室中。
在一些实施方式中,屏障可以是或包括陶瓷材料(例如,蓝宝石、石英、氧化铝、氮化铝、氧化钇、碳化硅或氮化硼)。屏障还可以由具有低表面再结合率或与离解的下游气体较低的反应速率的材料制成,这样可以提高离解气体传输到基材的效率。具有低再结合性质的材料包括,例如,石英、金刚石、类金刚石碳、烃和碳氟化合物。屏障可以由铝、镍或不锈钢之类的金属制成。可以根据所需的金属机械性质和热性质选择金属的类型。
屏障(例如,防护物或衬垫)的表面可以涂布有一层化学相容或低表面再结合率/反应率的材料。屏障还可以由能与离解的下游气体反应的材料制成。例如,在一些应用中,实际上需要的是缓慢消耗的屏障,这样可以避免污染物或颗粒的累积。屏障可以部分地位于等离子体室中。为了减小离解的下游气体和等离子体室之间的不利的相互作用,可以在等离子体室的出口和下游气体的注入口之间引入额外的吹扫用气体。
该方法还包括指定下游气体的性质(例如,压力、流量和从室出口注入的距离中的一个或多个性质),以最优化下游气体的离解。所述方法还包括指定等离子体的性质(例如,压力、流量、气体类型、气体组成和对等离子体的供电中的一个或多个性质),以最优化下游气体的离解。
另一方面,本发明涉及活化和离解气体的方法,该方法包括在等离子体室中用等离子体产生活化气体。该方法还包括将下游气体引入到等离子体室之外的活化气体中,所引入的位置与等离子体室的出口足够接近,这样活化气体具有足以使下游气体被激发(例如,离解)的能量。所述位置与等离子体室的出口间隔足够的距离,这样被激发的下游气体基本上不会与等离子体室的内表面发生相互作用。
另一方面,本发明涉及一种蚀刻光刻胶的方法。该方法包括用位于等离子体室中的等离子体产生活化气体。所述方法还包括使下游气体与至少一部分的活化气体混合,这样活化气体具有足够使下游气体被激发(例如,离解)的能量,并且被激发的下游气体基本不会与等离子体室的内表面发生相互作用。所述方法还包括用离解的下游气体蚀刻基材。所述方法还包括用离解的下游气体清洁表面。所述方法还用于在基材上沉积材料。所述方法还可用于生产粉末。
另一方面,本发明涉及一种活化和离解气体的方法。所述方法包括在等离子体室中用等离子体产生活化气体。所述方法还包括引入下游气体,与位于等离子体限定的区域以外的活化气体相互作用,使活化气体能够促进下游气体的激发(例如,离解),其中被激发的气体基本不会与等离子体室的内表面发生相互作用。
在一个实施方式中,本发明涉及一种用于活化和离解气体的系统。该系统包括用于在等离子体室中产生等离子体的等离子体源,其中等离子体产生活化气体。该系统还包括用于使至少一部分的活化气体与下游气体混合从而使活化气体能够促进下游气体的激发(例如,离解)的装置,其中被激发的下游气体基本不会与等离子体室的内表面发生相互作用。在一些实施方式中,活化气体和下游气体之间的相互作用能够促进下游气体的电离。例如,能量从活化气体向下游气体的转移提高了下游气体的化学活性。
另一方面,本发明涉及用等离子体活化的气体在等离子室下游的位置使含卤素的气体(例如,NF3、CHF3和CF4)离解,而卤素气体与等离子体室壁基本上不发生相互作用(例如,腐蚀)的设备和方法。
在另一个实施方式中,本发明涉及一种用于活化和离解气体的系统。该系统包括用于在等离子体室中产生等离子体区域的远程等离子体源,其中等离子体产生活化气体。该系统还包括用于引入下游气体、与等离子体区域之外的活化气体相互作用的注入源,其中活化气体能够促进下游气体的激发(例如,离解),其中被激发的下游气体是离解的下游气体,基本不会与等离子体室的内表面发生相互作用。
该系统可包括位于等离子体室出口处的屏障,以减小等离子体室的腐蚀。例如,屏障可以部分地位于等离子体室内。例如,屏障可以部分地位于等离子体室的出口通道内。该系统可包括位于等离子体室的出口通道内的屏障。该系统可包括混合器以混合下游气体和活化气体。该混合器可包括静流混合器、螺旋式混合器、桨片(blades)或堆叠的圆柱体混合器。该系统可包括吹扫气体进口。吹扫气体进口可位于等离子体室的出口和注入源的进口之间。
等离子体室可包括石英材料。在一些实施方式中,等离子体室是单片熔凝石英。在一些实施方式中,等离子体室是环形的(toroidal-shaped)。在一些实施方式中,等离子体源是环形等离子体源。
另一方面,本发明涉及一种在基材上沉积材料的方法。该方法包括在等离子体室中用等离子体产生活化气体。该方法还包括相对于等离子体的出口设置下游气体进口,这样能够使活化气体促进从下游气体进口引入的下游气体的离解,其中下游气体包含要沉积的材料,离解的下游气体基本不会与等离子体室的内表面发生相互作用。
在一些实施方式中,通过远程等离子体源产生等离子体。远程等离子体源可以是例如RF等离子体发生器、微波等离子体发生器或DC等离子体发生器。下游气体可以从各个位置引入等离子体室中。在一些实施方式中,可以从相对于等离子体室出口、能够最大程度地减小离解的下游气体与等离子体室内表面之间的相互作用的位置引入下游气体。可以从相对于等离子体室的出口、能够最大程度的增加下游气体离解的程度的位置引入下游气体。可以从相对于等离子体室出口、能够使离解的下游气体与等离子体室内表面相互作用的程度与下游气体离解的程度达到平衡的位置引入下游气体。要沉积的材料可以包括Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr或Al中的一种或多种。
另一方面,本发明涉及用于在基材上沉积材料的系统。该系统包括用于在等离子体室中产生等离子体区域的远程等离子体源,其中等离子体产生活化气体。该系统还包括用于引入包含沉积材料的下游气体,与等离子体区域之外的活化气体相互作用的注入源,其中活化气体促进下游气体的激发(例如,离解),并且被激发的下游气体基本不会与等离子体室的内表面发生相互作用。
要沉积的材料可以是Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr或Al中的一种或多种。该系统可包括用于使下游气体与活化气体混合的混合器。该混合器可包括静流混合器、螺旋式混合器、桨片或堆叠的圆柱体混合器。该系统可包括吹扫气体进口。该吹扫气体进口可以位于等离子体室的出口和注入源的进口之间。
通过以下说明和权利要求书,本发明的上述和其它目的、方面、特征和优点是更显而易见的。
                        附图简要说明
通过结合以下附图的说明性描述可以更全面地理解本发明的上述和其它目的、特征和优点以及本发明本身,附图不必按比例绘制。
图1是体现本发明的用于产生离解气体的等离子体源的局部示意图。
图2A是依据本发明的示例性实施方式的气体注入源的截面图。
图2B是图2A的气体注入源的端视图。
图3A是依据本发明的示例性实施方式的气体注入源的截面图。
图3B是图3A的气体注入源的端视图。
图4表示使用依据本发明的气体离解系统,NF3的离解百分数随NF3注入到等离子体源的位置与石英等离子体室出口处的距离的变化。
图5表示使用依据本发明的气体离解系统,CF4的离解百分数随CF4注入到等离子体源的位置与石英等离子体室出口处的距离的变化。
图6表示使用本发明的气体离解系统,NF3的离解百分数随等离子体气体流量的变化。
图7表示使用本发明的气体离解系统,NF3的离解百分数随等离子体气体压力的变化。
图8表示使用本发明的气体离解系统,NF3的离解百分数随下游NF3流量的变化。
图9表示使用本发明的气体离解系统,CF4的离解百分数随等离子体气体流量的变化。
图10表示使用本发明的气体离解系统,CF4的离解百分数随等离子体气体压力的变化。
图11A表示使用本发明的气体离解系统,CHF3的离解百分数随等离子体气体流量的变化。
图11B表示使用本发明的气体离解系统,CHF3的离解百分数随下游CHF3流量的变化。
图12是体现本发明的用于产生离解气体的等离子体源的局部示意图。
图13表示使用依据本发明的气体离解系统,NF3的离解百分数随NF3注入到等离子体源的位置与石英等离子体室出口处的距离的变化。
图14是依据本发明的示例性实施方式的气体注入源一部分的截面图。
                  示例性实施方式的详细说明
图1是体现本发明的用于产生离解气体的气体离解系统100的局部示意图。等离子体常用于活化气体,使气体处于其激发状态,这样可以提高气体的活性。气体的激发涉及提高气体的能量状态。在一些情况中,激发气体,产生含有离子、自由基、原子和分子的离解气体。系统100包括等离子体气体源112,它通过气管116与等离子体室108连接。阀门120控制等离子体气体(例如O2、N2、Ar、NF3、H2和He)通过气管116从等离子体气体源112进入等离子体室108的流量。阀门120可以是例如电磁阀、正比螺线阀或质量流量控制器。等离子体发生器184在等离子体室108内产生等离子体132的区域。等离子体132包含等离子体活化的气体134,该气体的一部分流出等离子体室108。由于等离子体132加热和活化等离子体气体,产生等离子体活化气体134。在该实施方式中,等离子体发生器184部分地位于等离子体室108的周围。系统100还包括电源124,该电源124通过连接128向等离子体发生器184供电,在等离子体室108内产生等离子体132(该等离子体132包含活化气体134)。例如,等离子体室108可由铝之类的金属材料或难熔金属形成,或由石英或蓝宝石之类的介电材料形成。在一些实施方式中,使用不同于等离子体气体的气体产生活化气体。在一些实施方式中,等离子体气体同时用于产生等离子体和活化气体。
等离子体室108具有一个出口172,该出口172通过通道168与处理室156的进口176连接。至少一部分的活化气体134从等离子体室108的出口172流出,通过通道168。活化气体134携带的能量的值沿着通道168的长度方向下降。注入源104(例如,气体注入源)位于沿通道168的长度方向的距离148处。注入源104还可以位于等离子体室108的下部部分内。气体注入源104具有至少一个气体进口180,用于将气体(例如,需要通过活化气体134离解的下游气体)引入到通道168的区域164内。下游气体源136将下游气体(例如,NF3、CF4、CHF3、C2F6、C2HF5、C3F8、C4F8、XeF2、Cl2、ClF3、H2或NH3)通过气管140和气体进口180引入通道168的区域164内。阀门144控制下游气体通过气管140的流量。下游气体可包括含有以下物质的沉积前体:例如,Si、Ge、Ga、In、As、Sb、Al、Cu、Ta、Ti、Mo、W、Hf、Sr或Zr。阀门144可以是例如电磁阀、正比螺线阀或质量流量控制器。
在距离148处引入到通道168的区域164内的下游气体与至少一部分的活化气体134相互作用,产生离解的下游气体流152。文中所用的术语“下游气体”指通过气体进口180引入到通道168中的气体。文中所用的术语“离解的下游气体”指由于活化气体134与下游气体相互作用产生的气体。离解的下游气体152可以含有例如活化气体134、下游气体和已经被活化气体134激发(例如,离解)的下游气体的混合物。在一些实施方式中,离解的下游气体152主要含有已经在活化气体134作用下离解的气体。在其它实施方式中,离解的下游气体152主要含有例如活化气体134。
离解的下游气体152流过通道168,进入处理室156的进口176。位于处理室156中的样品固定器160支撑被离解的下游气体152处理的材料。可以在处理室156的进口176处设置任选的气体分配器或喷头(未示出),将离解气体均匀地分配到例如位于固定器160上的基材的表面上。在一个实施方式中,离解的下游气体152促进了处理室156中位于样品固定器160上的半导体晶片或基材的蚀刻。在另一个实施方式中,离解的下游气体152促进了在处理室156中位于样品固定器160上的基材上沉积薄膜。活化气体134具有足够的能量,能够与下游气体相互作用,产生离解的下游气体152。
在一些实施方式中,一定百分数的引入到通道168的区域164中的下游气体在活化气体134的作用下离解。下游气体离解的程度(例如,百分数)是例如能量水平以及活化气体134中携带的能量的值的函数。活化气体134的能量水平可以超过下游气体的键能水平,使下游气体原子之间的键断裂,发生离解。在一些实施方式中,活化气体134还可以携带足够的能量,通过多个碰撞过程热激发和离解下游气体。例如,CF4的键能水平约为5.7eV,NF3的键能水平约为3.6eV。因此,在类似的离解系统100的运行条件下,离解CF4比离解NF3所需的活化气体134的能量高。
在另一个实施方式中,因为活化气体134中含有的能量的值沿通道168随着离开等离子体室108的出口172的距离越远而越低,所以距离148必须足够小,以相对于等离子体室108的出口172设置气体进口180,使得活化气体134能够有效地促进由下游气体源104引入到通道168的下游气体的激发(例如,离解)。距离148还必须足够大,以相对于等离子体108的出口172设置气体进口180,使得离解的下游气体152基本不会与等离子体室108的内表面发生相互作用。在一些实施方式中,当等离子体的密度在等离子体室108的上部部分中集中时,注入源104可以位于等离子体室108的下部部分中。
在一个实施方式中,系统100包括屏障(例如,防护物或衬垫,未示出),该屏障位于通道168内,在等离子体室108的出口172处。屏障保护通道168,减少了通道168暴露于系统100的活化气体中。在一些实施方式中,防护物或衬垫局部地位于等离子体室108中。防护物或衬垫可由对活性气体(例如,活化气体134和离解的下游气体152)具有充分耐受性的材料制成。在此方式中,因为防护物或衬垫暴露于活性气体,防护物或衬垫可用于减少等离子体室108的腐蚀。
在一个实施方式中,衬垫是位于通道168内,等离子体室108的出口172处的管状材料。衬垫可由与活性气体化学相容的材料制成。衬垫可完全或部分地由蓝宝石材料制成。在一些实施方式中,防护物或衬垫是可移动的,可以定期更换。因此,为了化学一致性,防护物或衬垫可由与等离子体室相同的材料制成。
在一些实施方式中,防护物或衬垫降低了等离子体室108内各部件的热应力。防护物或衬垫可由减少活化气体134和离解的下游气体152中活性物质损失的材料制成,从而可以最大程度地增加活性物质的产量。具有低再结合性质的材料包括,例如,石英、金刚石、类金刚石碳、蓝宝石、烃和碳氟化合物。为了得到更好的机械性质和热性质,防护物或衬垫也可以由金属(例如,铝、镍或不锈钢)制成。金属防护物或衬垫的表面可以涂布一层化学相容的或低表面再结合率/反应率材料,以提高总体性能。
在一个实施方式中,系统100在等离子体室108的出口172和气体进口180之间包括额外的吹扫气体进口(未示出)。吹扫气体可以流过气体进口180,防止(或最大程度地减少)下游气体反流到等离子体室108中。当等离子体气体的流量较小时,可能发生反流。吹扫气体可以是稀有气体(例如,Ar或He)或工艺气体(例如,O2或H2)。
在一个实施方式中,系统100包括传感器(未示出),用于测量下游气体在通道168内离解的百分数。在某些实施方式中,相同的传感器用于确定离解的下游气体152与等离子体室108的内表面发生不利的相互作用的程度。用于测量离解百分数和离解的下游气体152与等离子体室108的内表面反应的程度的示例性传感器是由Thermo Electron Corporation of Madison,Wisconsin出售的Nicolet 510P Metrology Tool。例如,传感器测量SiF4的存在。SiF4是氟(离解的下游气体)与石英等离子体室反应的副产物。传感器不是必需的;但是,传感器可用于系统100中。因此,传感器测量显示存在大量SiF4是离解的下游气体152与石英等离子体室108的内表面发生不利的相互作用的指示。下游气体的离解百分数取决于许多因素。一个因素是下游气体引入到通道168的区域164内的距离148。另一个因素是在下游气体引入到通道168的区域164中的距离148处活化气体134的能量值。
在一个实施方式中,下游气体在相对于等离子体室108的出口172的距离148处引入,最大程度地减小离解气体152与等离子体室108的内表面之间的相互作用。在另一个实施方式中,下游气体在相对于等离子体室108的出口172的距离148处引入,最大程度地增加了下游气体离解的程度。在另一个实施方式中,下游气体在相对于等离子体室108的出口172的距离148处引入,使离解的下游气体152与等离子室108的内表面的相互作用的程度与下游气体离解的程度达到平衡。
等离子体源184可以是例如DC等离子体发生器、射频(RF)等离子体发生器或微波等离子体发生器。等离子体源184可以是远程等离子体源。例如,等离子体源184可以是由MKS Instruments,Inc.of Wilmington,MA制造的ASTRON或R*evolution远程等离子体源。DC等离子体发生器通过在等离子体气体中(例如,O2)在两个电极之间施加电势来产生DC放电。RF等离子体发生器通过从电源向等离子体提供静电或感应耦合能量来产生RF放电。微波等离子体发生器使微波能量通过允许微波通过的窗口直接耦合进入含等离子体气体的等离子室来产生微波放电。
在一个实施方式中,等离子源是环形等离子体源,等离子体室108是石英室。石英室可以是例如单片熔凝石英。在其它实施方式中,可以使用其它类型的等离子体源和等离子体室材料。例如,可以使用蓝宝石、氧化铝、氮化铝、氧化钇、碳化硅、氮化硼或铝、镍或不锈钢之类的金属、或涂布的金属如阳极化处理的铝。
电源124可以是例如RF电源或微波电源。在一些实施方式中,等离子体室108包括用于产生游离电荷的装置,这些游离电荷提供引燃等离子体室108内等离子体132的初始电离条件。初始电离条件可以是施加于等离子体室108的瞬时高电压脉冲。脉冲的电压约为500-10000伏,可以约为0.1微秒至100毫秒长。可以将氩气的稀有气体注入到等离子体室108中,以降低点燃等离子体132所需的电压。也可以使用紫外辐射在等离子体室108中产生游离电荷,提供引燃等离子体室108内等离子体132的初始电离条件。
控制系统(未示出)可用于例如控制阀门116(例如,质量流量控制器)的运行,以调节等离子气体从等离子体气体源112流入等离子体室108的流量。控制系统还可用于控制阀门144(例如,质量流量控制器)的运行,以调节下游气体从下游气体源136流入区域164的流量。控制系统还可用于调节等离子体发生器184的操作参数(例如,提供给等离子体132,随后提供给活化气体134的能量,或气体流量或压力)。
在一些实施方式中,考虑用系统100在位于处理室156的样品固定器160上的半导体晶片上沉积材料。例如,下游气体可以包含沉积材料(例如,SiH4、TEOS或WF6)。下游气体还可以包含其它含有以下物质的沉积前体:例如,Si、Ge、Ga、In、Sn、As、Sb、Al、Cu、Ta、Ti、Mo、W、Hf、Sr和Zr。活化气体134与下游气体中的沉积材料相互作用,产生能沉积在位于样品固定器160上的晶片上的沉积物质。沉积前体暴露于等离子体会导致前体分子在气体表面上分解。因此,在优选使前体在沉积表面分解的应用中,前体被活化气体激发是有利的。在一些实施方式中,下游气体包括一种或多种包含金属或半导体材料的气体,或包括含有金属或半导体材料的氧化物或氮化物。
系统100可用于在基材上沉积光学涂料,基材是例如镜子、滤光器或透镜。系统100可用于调节基材的表面性质。系统100可用于使表面生物相容或改变表面的吸水性。系统100可用于产生微观或纳米级的颗粒或粉末。
图2A和2B说明了结合了本发明原则的注入源104的实施方式。在此实施方式中,注入源104具有圆盘形的主体(body)200,该主体限定了中心区域164。区域164从主体200的第一端208延伸至主体200的第二端212。注入源104还具有六个进口180a、180b、180c、180d、180e和180f(统称为180),它们贯穿注入源104的主体200。进口180各自从主体200的外表面204的开口径向延伸至沿着主体200的区域164的内表面214的开口。
在一个实施方式中,进口180与下游气体源(例如,图1所示的下游气体源136)连接。下游气体源136提供通过进口180流入区域164的下游气体流。活化气体134从注入源104的第一端204进入注入源104。至少一部分的活化气体134与至少一部分的下游气体相互作用,产生离解的下游气体152。离解的下游气体152从注入源104的主体200的第二端212流出,沿着例如离解系统100的通道168流动。进口180可以考虑采用其它的数目、几何参数和角度取向。例如,从图2B的端视图取向来看,进口180可以相对于注入源104的主体200的区域164的中心以一定角度取向。
在另一个实施方式中,如图3A和3B所示,注入源104具有圆盘形的主体200,该主体200限定了区域164。主体200具有第一端208和第二端212。注入源104具有六个进口180a、180b、180c、180d、180e和180f(统称为180),它们贯穿注入源104的主体200。其它数目的进口可用于其它实施方式中。进口180各自以角度304从主体200的外表面204的开口延伸至沿着主体200的区域164的内表面214的开口。在一个实施方式中,进口180与下游气体源(例如,图1所示的下游气体源136)连接。下游气体源136提供通过进口180流入区域164的下游气体流。下游气体至少部分地在通过主体200的第一端208进入区域164的活化气体134作用下离解。离解的下游气体152从主体200的第二端212离开区域164。
以示例性的方式,进行实验离解NF3。使用图2A和2B所示的注入源104将NF3引入注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。图4显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的NF3离解结果的图400。图400的Y轴412是NF3的离解百分数。图400的X轴416是NF3(下游气体)注入到区域164的相对于石英等离子体室108的出口172的距离148。
图4显示了在等离子体气体(O2/N2)和下游气体(NF3)的流量固定时,NF3的离解百分数随气体压力的升高而增加,随离开等离子体室的出口的距离的增加而下降。随着距离148增加,对于指定的等离子体气体压力水平(2托;3托;4托;5托(曲线408);6托(曲线404);7托),NF3的离解百分数降低。以示例性的方式,曲线404显示对于O2/N2等离子体气体以4/0.4slm的流量在等离子体气体压力为6托的情况下进入等离子体室108的情况,NF3的离解百分数从在约等于1.0厘米的距离148处NF3离解约92%下降至在约等于12.2厘米的距离148处NF3离解约8%。曲线408显示对于O2/N2等离子体气体以4/0.4slm的流量在等离子体气体压力为5托的情况下进入等离子体室108的情况,NF3的离解百分数从在约等于1.0厘米的距离148处NF3离解约77%下降至在约等于12.2厘米的距离148处NF3离解约3%。
在一个实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。Nicolet 510P传感器对SiF4的检测灵敏度为1sccm。在该实验中,对于各种等离子体气体压力和NF3(下游气体)注入到区域164的位置相对于石英等离子体室108的出口172的距离148,使用Nicolet传感器没有测量到SiF4
以示例性的方式,进行实验离解CF4。使用图3A和3B所示的注入源104将CF4引入到注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。选择各进口180的角304的角度为30°。图5显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的CF4离解结果的图500。图500的Y轴512是CF4的离解百分数。图500的X轴516是CF4(下游气体)注入到通道168的区域164的相对于石英等离子体室108的出口172的距离148。
图5显示了随着距离148增加,对于各种等离子体气体类型、流量和压力(4slm O2与0.4slm N2混合,4托;4slm O2,4托(曲线504);3slm N2,2托;和6slm Ar,6托(曲线508)),CF4的离解百分数降低。以示例性的方式,曲线504显示对于O2等离子体气体以4slm的流量在4托的压力下从等离子体气体源112流入等离子体室108的情况,100sccm CF4的离解百分数从在约等于0.53厘米的距离148处CF4离解约33%下降至在约等于1.05厘米的距离148处CF4离解约2%。曲线508显示对于Ar等离子体气体以6slm的流量在6托的压力下流入等离子体室108的情况,CF4的离解百分数从在约等于0.53厘米的距离148处CF4离解约24%下降至在约等于1.05厘米的距离148处CF4离解约1%。
在一个实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种等离子体气体类型、流量、压力和CF4(下游气体)注入到区域164的位置相对于石英等离子体室108的出口172的距离148,使用Nicolet传感器没有测量到SiF4
进行另一个实验离解NF3。使用图2A和2B所示的注入源104将100sccmNF3引入到注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。下游气体(NF3)在相对于石英等离子体室108的出口172约1厘米(即距离148)处引入通道168的区域164中。图6显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的NF3离解结果的图600。图600的Y轴612是NF3的离解百分数。图600的X轴616是通过等离子体气体源112引入到等离子体室108的等离子体气体(N2(曲线604);O2/N2,气体流量比为10/1(曲线608);Ar(曲线610);H2;和He)的气体流量(单位为标准升/每分钟)。
以示例性的方式,曲线604显示对于N2等离子体气体,100sccm NF3的离解百分数从N2等离子体气体流量约为1.0slm时NF3离解约16%升高到N2等离子体流量约为2.3slm时NF3离解约82%。曲线608显示对于O2/N2等离子体气体,100sccm NF3的离解百分数从O2/N2气体流量为2/0.2slm时NF3离解约16%升高到O2/N2气体流量约为5.5/0.55slm时NF3离解约79%。曲线610显示对于Ar等离子体气体,100sccm NF3的离解百分数从Ar等离子体气体流量约为2.0slm时NF3离解约14%升高到Ar等离子体气体流量约为10slm时NF3离解约29%。
在一个实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种等离子体气体类型和流量,使用Nicolet传感器没有测量到SiF4
进行另一个实验离解NF3。使用图2A和2B所示的注入源104将100sccmNF3引入到注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。下游气体(NF3)在相对于等离子体室108的出口172约1.0厘米(即距离148)处引入。图7显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的NF3离解结果的图700。图700的Y轴712是NF3的离解百分数。图700的X轴716是引入到等离子体室108的等离子体气体的气压(单位为托)。在该实验的操作条件下,使用Ar等离子体气体的NF3离解百分数(如曲线710所示)对于Ar气体压力是不敏感的。
以示例性的方式,曲线704显示对于流量为1slm的N2等离子体气体,100sccm NF3的离解百分数从等离子体气体压力约为1托时NF3离解约15%升高到等离子体气体压力约为3托时NF3离解约42%。曲线708显示对于流量为4/0.4slm的O2/N2等离子体气体,100sccm NF3的离解百分数从等离子体气体压力为1托时NF3离解约10%升高到等离子体气体压力为6托时NF3离解约90%。曲线710显示对于流量为6slm的Ar等离子体气体,100sccm NF3的离解百分数在等离子体气体压力2托时约为19%,等离子体压力为6托时为22%,等离子体气体压力为10托时约为21%。
在一个实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种等离子体气体类型、流量和压力,使用Nicolet传感器没有测量到SiF4
进行另一个实验离解NF3。使用图2A和2B所示的注入源104将NF3引入到注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。下游气体(NF3)在相对于等离子体室108的出口172约1厘米(即距离148)处引入。图8显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的NF3离解结果的图800。图800的Y轴812是NF3的离解百分数。图800的X轴816是下游气体NF3的流量(单位为sccm)。
图8的图800的曲线804显示对于流量为4/0.4slm、压力为5托的O2/N2等离子体气体,NF3的离解百分数从NF3流量约为25sccm直到NF3的流量约为200sccm一直保持在约75%。该图表明在这些操作条件下,NF3的离解百分数对于NF3的流量是不敏感的,这通过NF3的离解百分数基本保持不变得到证实(曲线804)。图8的图800的曲线806显示对于流量约为6slm、压力为6托的Ar等离子体气体,NF3的离解百分数从NF3流量约为50sccm时约40%下降到NF3流量约为200sccm时约15%。
在该实验中,使用上文所述的Nicolet510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种气体离解系统100的操作条件,使用Nicolet传感器没有测量到SiF4
以示例性的方式,进行另一个实验离解CF4。使用图3A和3B所示的注入源104将100sccm CF4引入到注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。选择各进口180的角304的角度为30°。下游气体(CF4)在相对于等离子体室108的出口172约0.5厘米(即距离148)处引入。图9显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的CF4离解结果的图900。图900的Y轴912是CF4的离解百分数。图900的X轴916是通过等离子体气体源112引入到等离子体室108的等离子体气体(N2(曲线904);O2/N2(曲线908);O2;Ar)的流量(单位为标准升/每分钟)。
图9显示对于100sccm下游CF4流,CF4的离解百分数随着等离子体气体流量的增加而增加。以示例性的方式,曲线904显示对于N2等离子体气体,100标准立方厘米/分钟的CF4流的离解百分数从N2等离子体气体流量约为1.0slm时CF4离解约10%升高到N2等离子体流量约为3slm时CF4离解约32%。曲线908显示对于O2/N2等离子体气体,100sccm CF4流的离解百分数从O2/N2等离子体气体流量约为2.0/0.2slm时CF4离解约5%升高到O2/N2等离子体气体流量约为5.0/0.5slm时CF4离解约46%。
在该实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种等离子体气体类型和流量,使用Nicolet传感器没有测量到SiF4
以示例性的方式,进行另一个实验离解CF4。使用图3A和3B所示的注入源104将100sccm CF4引入到注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。选择各进口180的角304的角度为30°。下游气体(CF4)在相对于等离子体室108的出口172约0.5厘米(即距离148)处引入。图10显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的CF4离解结果的图1000。图1000的Y轴1012是CF4的离解百分数。图1000的X轴1016是等离子体气体(1slm N2;4/0.4slm O2/N2(曲线1004);4slm O2;和6slm Ar(曲线1008))的气压(单位为托)。
曲线1004显示对于流量为4/0.4slm的O2/N2等离子体气体,100标准立方厘米/分钟的CF4流的离解百分数从等离子体气体压力为1托时CF4离解约5%升高到等离子体气体压力为6托时CF4离解约39%。曲线1008显示对于流量为6slm的Ar等离子体气体,100标准立方厘米/分钟的CF4流的离解百分数从等离子体气体压力2.0托时CF4离解约20%升高到等离子体气体压力为10托时CF4离解约25%。
在该实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种等离子体气体类型、流量和压力,使用Nicolet传感器没有测量到SiF4
以示例性的方式,进行另一个实验离解CHF3。使用图3A和3B所示的注入源104将CHF3引入到注入源104的主体200的区域164中。选择各进口180的内径约为0.5毫米。选择各进口180的角304的角度为30°。下游气体(CHF3)在相对于等离子体室108的出口172约0.5厘米(即距离148)处引入。
图11A显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的CHF3离解结果的图1100。等离子体气体是O2和N2之比为10∶1的O2/N2混合物。图1100的Y轴1112是CHF3的离解百分数。图1100的X轴1116是通过等离子体气体源112引入等离子体室108的等离子体气体中O2的气体流量(单位为标准升/分钟)。图11A的曲线1104显示对于等离子体气体压力为1.5托、下游CHF3的流量为100 sccm的情况,等离子体气体中O2流量为1slm至4slm时,CHF3几乎100%离解。
图11B显示了通过气体离解系统(例如,图1所示的气体离解系统100)得到的CHF3离解结果的图1102。图1102的Y轴1114是CHF3的离解百分数。图1102的X轴1118是下游CHF3的流量(单位为sccm)。图11B的曲线1108显示对于O2流量为4slm、N2流量为0.4slm、压力为1.5托的等离子体气体,在下游CHF3流量为100sccm至200sccm时,CHF3几乎100%离解。
在该实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种等离子体气体压力和CHF3(下游气体)注入到区域164的位置相对于石英等离子体室108的出口172的距离148,使用Nicolet传感器没有测量到SiF4
在另一个实施方式中,如图12所示,系统100包括等离子体气体源112,它通过气管116与等离子体室108连接。等离子体发生器184在等离子体室108内产生等离子体区域132。等离子体132包含等离子体活化的气体134,该气体的一部分流出等离子体区域132。系统100包括注入源104。在此实施方式中,注入源104包括L型的管道190,该管道与注入源104的气体进口连接。管道190将气体(例如,需要通过活化气体134离解的下游气体)引入到系统100的区域192中。区域192(即活化气体134与下游气体相互作用的位置)取决于管道190的出口196的位置。管道190的出口196可以位于例如等离子体室108的出口172内的距离194处。或者,如果例如注入源104向远离出口172、靠近处理室156的方向移动,管道190的出口196可以位于等离子体室108的出口172之外的距离处。在此方式中,下游气体可以在等离子体室108的内部或外部引入到系统100中。
以示例性的方式,进行实验离解NF3。使用图12所示的注入源104将NF3引入到系统100的区域192中。图13显示了通过气体离解系统(例如图12所示的气体离解系统100)得到的NF3离解结果的图1300。图1300的Y轴1312是NF3的离解百分数。图1300的X轴1316是NF3(下游气体)注入到区域192中相对于石英等离子体室108的出口172的距离。在此实验中,在一个测试中,NF3在等离子体室108的出口172内的约0.5厘米的距离194处注入。在其它测试中,NF3还可以在等离子体室108的出口172之外的距离148(约1.0厘米、3.8厘米、6.6厘米、9.4厘米和12.2厘米)处注入。
图13显示了对于各种等离子体气体类型、流量和压力(4标准升/分钟(slm)O2,4托(曲线1304);3slm N2,2托;10slm Ar,9托;6slm Ar,6托;和4slm O2与0.4slm N2混合,4托(曲线1308)),NF3的离解百分数下降。以示例性的方式,曲线1304显示对于等离子体室108中来自等离子体气体源112的流量为4标准升/分钟(slm)、压力为4托的O2等离子体气体,100标准立方厘米/分钟(sccm)NF3的离解百分数从距离194约等于0.5厘米时NF3离解约90%下降至距离148约等于12.2厘米时NF3离解约2%。曲线1308显示对于O2/N2等离子体气体以4/0.4slm的流量在4托的压力下进入等离子体室108的情况,NF3的离解百分数从在约等于0.5厘米的距离194处NF3离解约81%下降至在约等于12.2厘米的距离148处NF3离解约0%。
在该实验中,使用上文所述的Nicolet 510P传感器测量离解的下游气体152对石英室108最小的不利影响。在该实验中,对于各种等离子体气体压力和NF3(下游气体)注入到区域192的相对于石英等离子体室108的距离194和148,使用Nicolet传感器没有测量到SiF4
图14是体现本发明的气体离解系统(例如,图1的系统100)的一部分的截面示意图,该系统包括用于生产离解气体的注入源104。注入源104的主体200与等离子体室108的出口172连接(为了清楚说明,只显示了等离子体室108的一部分)。注入源104具有六个进口180a、180b、180c、180d、180e和180f(统称为180),它们贯穿注入源104的主体200。为了清楚说明,没有显示进口180b、180c、180e和180f。进口180各自以角度304从主体200的外表面204的开口延伸至沿着主体200的区域164的内表面214的开口。进口180与下游气体源(例如,图1所示的气体源136)连接,使下游气体通过进口180流入区域164。
等离子体活化的气体134通过等离子体室108的出口172进入区域164。下游气体与等离子体活化的气体134之间的反应在两种气体流混合时发生。加强两种气体的混合可以提高下游气体的离解。在一些实施方式中,在接近等离子体室出口172的位置进行气体混合是有利的。在此方式中,当离解气体进入例如处理室时,混合对离解气体具有最小的影响。
可以使用各种静流混合器(例如螺旋式混合器、桨片和堆叠的圆柱体混合器)使下游气体与等离子体活化的气体134混合。参考图14,在此实施方式中,区域164的直径1404大于等离子体室出口172的直径1408。从出口1408的直径1408转变到区域164的直径1404所导致的流动通道的直径的突然扩大使活化气体流134在区域164内产生紊流和气体回流。由于紊流和回流增强了混合,因此提高了下游气体的离解。
在不背离权利要求限定的本发明的精神和范围的情况下,本领域普通技术人员可以对文中所述进行变化、修改和其它实施。因此,本发明不受之前的示例性说明限制,而是由所附权利要求的精神和范围限制。

Claims (49)

1.一种离解气体的方法,其包括:
在一个室中用等离子体产生活化气体;
相对于所述室的出口设置下游气体进口,使活化气体能够促进通过所述气体进口引入的下游气体的离解,其中离解的下游气体基本不与所述室的内表面相互作用。
2.如权利要求1所述的方法,其特征在于,所述等离子体由远程等离子体源产生。
3.如权利要求2所述的方法,其特征在于,所述远程等离子体源是选自下组的远程等离子体源:RF等离子体发生器、微波等离子体发生器和DC等离子体发生器。
4.如权利要求1所述的方法,其特征在于,所述等离子体由包含氧、氮、氦和氩中的一种或多种的等离子体气体产生。
5.如权利要求1所述的方法,其特征在于,所述下游气体包括卤素气体。
6.如权利要求5所述的方法,其特征在于,所述下游气体包括选自下组的卤素气体:F2、XeF2、NF3、CF4、CHF3、C2F6、C2HF5、C3F8和C4F8
7.如权利要求1所述的方法,其特征在于,所述下游气体包括氟。
8.如权利要求1所述的方法,其特征在于,所述室的内表面包括选自下组的材料:石英、氧化铝、氮化铝、钇和蓝宝石。
9.如权利要求1所述的方法,其特征在于,所述下游气体在相对于所述室出口、能够最大程度地减小离解的下游气体和所述室的内表面之间的相互作用的位置引入。
10.如权利要求1所述的方法,其特征在于,所述下游气体在相对于所述室出口、能够最大程度地增加下游气体离解的程度的位置引入。
11.如权利要求1所述的方法,其特征在于,所述下游气体在相对于所述室出口、能够使离解的下游气体与所述室的内表面之间相互作用的程度和下游气体离解的程度达到平衡的位置引入。
12.如权利要求1所述的方法,其特征在于,使用所述离解的下游气体促进基材的蚀刻。
13.如权利要求1所述的方法,其特征在于,包括指定下游气体的性质,以最优化下游气体的离解。
14.如权利要求13所述的方法,其特征在于,所述性质是压力、流量和注入位置与所述室出口之间距离中的一种或多种。
15.如权利要求4所述的方法,其特征在于,包括指定等离子体气体的性质,以最优化下游气体的离解。
16.如权利要求15所述的方法,其特征在于,所述性质是压力、流量、气体类型、气体组成和对等离子体的供电方式中的一种或多种。
17.如权利要求1所述的方法,其特征在于,所述下游气体包含一种材料,该材料沉积在位于与所述室连接的处理室中的半导体晶片上。
18.一种离解气体的方法,其包括:
在一个室中由等离子体产生活化气体;
将下游气体在足够接近所述室出口的位置引入所述室外部的活化气体中,这样所述活化气体具有足以促进下游气体离解的能量水平,其中所述位置与所述室的出口相隔足够距离,使得离解的下游气体基本不与所述室的内表面发生相互作用。
19.一种蚀刻光刻胶的方法,其包括:
在一个室中用等离子体产生活化气体;
将下游气体与至少一部分的活化气体混合,使得
i)活化气体包含足以促进下游气体离解的能量水平,和
ii)离解的下游气体基本不与所述室的内表面发生相互作用;以及用离解的下游气体蚀刻基材。
20.一种离解气体的方法,其包括:
在一个室中用等离子体产生活化气体;
引入下游气体与等离子体限定的区域之外的活化气体相互作用,使活化气体能够促进下游气体的离解,其中所述离解气体基本不会与所述室的内表面发生相互作用。
21.一种离解气体的系统,其包括:
用于在一个室中产生等离子体的等离子体源,其中等离子体产生活化气体;
用于将至少一部分的活化气体与下游气体混合以使活化气体能够促进下游气体的离解的装置,其中所述离解的下游气体基本不与所述室的内表面发生相互作用。
22.一种激发气体的系统,其包括:
用于在一个室中产生等离子体区域的远程等离子体源,其中等离子体产生活化气体;以及
用于引入下游气体与等离子体区域之外的活化气体相互作用的注入源,其中所述活化气体促进下游气体的激发,被激发的下游气体基本不与所述室的内表面发生相互作用。
23.如权利要求22所述的系统,其特征在于,所述下游气体的激发包括离解下游气体。
24.如权利要求22所述的系统,其特征在于,包括位于所述室出口处的屏障,以减少对所述室的腐蚀或所述室上的沉积物。
25.如权利要求24所述的系统,其特征在于,所述屏障至少部分地位于所述室内。
26.如权利要求24所述的系统,其特征在于,所述屏障至少部分地位于所述室的输出通道内。
27.如权利要求22所述的系统,其特征在于,包括位于所述室的输出通道内的屏障。
28.如权利要求22所述的系统,其特征在于,所述室包括石英。
29.如权利要求28所述的系统,其特征在于,所述室是环形的室。
30.如权利要求22所述的系统,其特征在于,所述等离子体源是环形的等离子体源。
31.如权利要求22所述的系统,其特征在于,包括混合器,以使下游气体与活化气体混合。
32.如权利要求31所述的系统,其特征在于,所述混合器包括静流混合器、螺旋式混合器、桨片或堆叠的圆柱体混合器。
33.如权利要求22所述的系统,其特征在于,包括吹扫气体的进口。
34.如权利要求33所述的系统,其特征在于,所述吹扫气体的进口位于所述室的出口和所述注入源的进口之间。
35.一种在基材上沉积材料的方法,其包括:
在一个室中用等离子体产生活化气体;以及
相对于所述室的出口设置下游气体进口,使活化气体能够促进通过所述气体进口引入的下游气体的离解,其中所述下游气体包括要沉积的材料,所述离解的下游气体基本不与所述室的内表面发生相互作用。
36.如权利要求35所述的方法,其特征在于,所述等离子体由远程等离子体源产生。
37.如权利要求35所述的方法,其特征在于,所述远程等离子体源是选自下组的远程等离子体源:RF等离子体发生器、微波等离子体发生器和DC等离子体发生器。
38.如权利要求35所述的方法,其特征在于,所述下游气体在相对于所述室出口、能够最大程度地减小离解的下游气体和所述室的内表面之间的相互作用的位置引入。
39.如权利要求35所述的方法,其特征在于,所述下游气体在相对于所述室出口、能够最大程度地增加下游气体离解的程度的位置引入。
40.如权利要求35所述的方法,其特征在于,所述下游气体在相对于所述室出口、能够使离解的下游气体与所述室的内表面之间相互作用的程度和下游气体离解的程度达到平衡的位置引入。
41.如权利要求35所述的方法,其特征在于,所述要沉积的材料包括Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr或Al中的一种或多种。
42.如权利要求35所述的方法,其特征在于,所述下游气体在相对于所述室出口、能够使离解的下游气体与所述室的内表面之间相互作用的程度和下游气体离解的程度达到平衡的位置引入。
43.一种在基材上沉积材料的系统,其包括:
远程等离子体源,其用于在一个室中产生等离子体区域,其中等离子体产生活化气体;
注入源,其用于引入包含沉积材料的下游气体,与等离子体区域之外的活化气体相互作用,其中所述活化气体促进下游气体的激发,被激发的下游气体基本不与所述室的内表面发生相互作用。
44.如权利要求43所述的系统,其特征在于,所述下游气体的激发包括离解下游气体。
45.如权利要求43所述的系统,其特征在于,所述沉积材料包括Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr或A1中的一种或多种。
46.如权利要求43所述的系统,其特征在于,包括混合器,以使下游气体和活化气体混合。
47.如权利要求46所述的系统,其特征在于,所述混合器包括静流混合器、螺旋式混合器、桨片或堆叠的圆柱体混合器。
48.如权利要求43所述的系统,其特征在于,包括吹扫气体的进口。
49.如权利要求48所述的系统,其特征在于,所述吹扫气体的进口位于所述室的出口和所述注入源的进口之间。
CNA2005800415193A 2004-12-03 2005-12-02 用于下游气体离解的方法和设备 Pending CN101068614A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/003,109 2004-12-03
US11/003,109 US20060118240A1 (en) 2004-12-03 2004-12-03 Methods and apparatus for downstream dissociation of gases

Publications (1)

Publication Number Publication Date
CN101068614A true CN101068614A (zh) 2007-11-07

Family

ID=36263879

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800415193A Pending CN101068614A (zh) 2004-12-03 2005-12-02 用于下游气体离解的方法和设备

Country Status (8)

Country Link
US (2) US20060118240A1 (zh)
JP (1) JP2008523592A (zh)
KR (1) KR20070085588A (zh)
CN (1) CN101068614A (zh)
DE (1) DE112005003045T5 (zh)
GB (1) GB2435581A (zh)
TW (1) TW200637646A (zh)
WO (1) WO2006060827A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101880880B (zh) * 2009-05-06 2011-07-27 中国科学院微电子研究所 二氧化碳缓冲硅片打孔装置
CN105316653A (zh) * 2014-06-06 2016-02-10 朗姆研究公司 用rf等离子体循环和清洗去除处理室颗粒的系统和方法
CN105390363A (zh) * 2015-10-29 2016-03-09 上海华力微电子有限公司 一种高密度等离子体机台的管路装置
CN106367736A (zh) * 2016-11-14 2017-02-01 张宇顺 远端电浆增强化学气相沉积装置
CN113196444A (zh) * 2018-12-20 2021-07-30 应用材料公司 用于供应改良的气流至处理腔室的处理空间的方法和设备

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
KR20080077642A (ko) * 2005-12-23 2008-08-25 엠케이에스 인스트루먼츠, 인코포레이티드 가스들의 다운스트림 해리용 방법들 및 장치들
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
KR100762714B1 (ko) * 2006-10-27 2007-10-02 피에스케이 주식회사 플라스마를 이용하여 기판을 처리하는 장치, 플라스마를공급하는 방법 및 플라스마를 공급하여 기판을 처리하는방법
US20080302652A1 (en) * 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control
KR101595686B1 (ko) * 2007-10-19 2016-02-18 엠케이에스 인스트루먼츠, 인코포레이티드 높은 가스 유량 공정을 위한 환형 플라즈마 챔버
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8282906B2 (en) 2009-12-23 2012-10-09 3M Innovative Properties Company Remote plasma synthesis of metal oxide nanoparticles
US8101245B1 (en) * 2010-08-12 2012-01-24 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130118589A1 (en) 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR20150050638A (ko) * 2013-10-29 2015-05-11 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10395918B2 (en) * 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
TWI636253B (zh) * 2017-01-05 2018-09-21 富蘭登科技股份有限公司 一種應用光譜儀來量測氣體解離狀態的量測裝置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11114287B2 (en) 2018-06-14 2021-09-07 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR102252929B1 (ko) * 2019-07-26 2021-05-17 주식회사 엠디케이 기판 처리 장치
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
FR2664294B1 (fr) * 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JP3231426B2 (ja) * 1992-10-28 2001-11-19 富士通株式会社 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置
US5523063A (en) * 1992-12-02 1996-06-04 Applied Materials, Inc. Apparatus for the turbulent mixing of gases
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5423942A (en) * 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6030506A (en) * 1997-09-16 2000-02-29 Thermo Power Corporation Preparation of independently generated highly reactive chemical species
JPH10326771A (ja) * 1997-05-23 1998-12-08 Fujitsu Ltd 水素プラズマダウンストリーム処理装置及び水素プラズマダウンストリーム処理方法
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6606802B2 (en) * 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101880880B (zh) * 2009-05-06 2011-07-27 中国科学院微电子研究所 二氧化碳缓冲硅片打孔装置
CN105316653A (zh) * 2014-06-06 2016-02-10 朗姆研究公司 用rf等离子体循环和清洗去除处理室颗粒的系统和方法
CN105316653B (zh) * 2014-06-06 2019-05-14 朗姆研究公司 用rf等离子体循环和清洗去除处理室颗粒的系统和方法
CN105390363A (zh) * 2015-10-29 2016-03-09 上海华力微电子有限公司 一种高密度等离子体机台的管路装置
CN106367736A (zh) * 2016-11-14 2017-02-01 张宇顺 远端电浆增强化学气相沉积装置
CN113196444A (zh) * 2018-12-20 2021-07-30 应用材料公司 用于供应改良的气流至处理腔室的处理空间的方法和设备

Also Published As

Publication number Publication date
KR20070085588A (ko) 2007-08-27
DE112005003045T5 (de) 2007-10-31
JP2008523592A (ja) 2008-07-03
WO2006060827A3 (en) 2006-08-24
US20060137612A1 (en) 2006-06-29
US20060118240A1 (en) 2006-06-08
WO2006060827A2 (en) 2006-06-08
TW200637646A (en) 2006-11-01
GB0710106D0 (en) 2007-07-04
GB2435581A (en) 2007-08-29

Similar Documents

Publication Publication Date Title
CN101068614A (zh) 用于下游气体离解的方法和设备
KR102498418B1 (ko) Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급
CN101461029B (zh) 用于气体的下游离解的方法和装置
CN1298027C (zh) 等离子体处理装置
CN1246887C (zh) 等离子体处理装置以及半导体制造装置
CN102625861B (zh) 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法
US10366898B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
CN102899636B (zh) 一种原位清洁mocvd反应腔室的方法
CN102899635B (zh) 一种原位清洁mocvd反应腔室的方法
CN1934287A (zh) 用于高介电常数含铪介电材料的原子层沉积的装置和方法
CN1653867A (zh) 等离子体辅助涂覆
CN1831192A (zh) 半导体处理用成膜方法、成膜装置和存储介质
CN1908228A (zh) 形成含硅的绝缘膜的方法和装置
CN1685486A (zh) 由热化学气相沉积制造氮化硅薄膜和氮氧化硅薄膜的方法
CN104651807A (zh) 用于低温ald膜的室底涂层制备方法
CN1913741A (zh) 等离子体处理装置的控制方法和等离子体处理装置
CN1499584A (zh) 产生气体等离子体的方法和装置及制造半导体器件的方法
CN1639831A (zh) 可调谐的多区气体喷射系统
CN1788334A (zh) 成膜装置
CN102414801A (zh) 在原位腔室清洁后的处理腔室去污方法
US20060264045A1 (en) Method and apparatus for preventing ALD reactants from damaging vacuum pumps
CN1224298C (zh) 电浆处理装置
CN1460130A (zh) 无机/有机介电薄膜的沉积系统及方法
CN1572016A (zh) 能防止污染并提高膜生长速率的化学气相沉积方法和设备
CN1767154A (zh) 从基板上清除含碳的残余物的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication