JP2008523592A - 気体の下流解離化のための方法及び装置 - Google Patents

気体の下流解離化のための方法及び装置 Download PDF

Info

Publication number
JP2008523592A
JP2008523592A JP2007544648A JP2007544648A JP2008523592A JP 2008523592 A JP2008523592 A JP 2008523592A JP 2007544648 A JP2007544648 A JP 2007544648A JP 2007544648 A JP2007544648 A JP 2007544648A JP 2008523592 A JP2008523592 A JP 2008523592A
Authority
JP
Japan
Prior art keywords
gas
chamber
plasma
downstream
downstream gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007544648A
Other languages
English (en)
Inventor
ホールバー,ウィリアム・エム
チェン,シング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of JP2008523592A publication Critical patent/JP2008523592A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J12/00Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0873Materials to be treated
    • B01J2219/0875Gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Sampling And Sample Adjustment (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

気体を活性化し解離する方法及び装置であって、チャンバの中のプラズマを用いて活性化気体を発生するステップを含む。下流気体入力をチャンバの出力に対して配置することにより、気体入力によって導かれる下流気体の解離を容易化し、解離された下流気体がチャンバの内側表面と実質的に相互作用しないようにする。

Description

本発明は、気体を活性化する方法及び装置に関する。更に詳しくは、本発明は、解離された気体を発生する方法及び装置に関し、また、解離された気体を用いて材料を処理する装置及び方法に関する。
プラズマは、気体を活性化して励起状態にして、反応性を高めるのに用いられることが多い。気体の励起には、気体のエネルギ状態を高くすることが含まれる。ある場合には、気体は、励起されて、イオン、フリー(自由)ラジカル、原子及び分子を含む解離状態にある(解離された)気体を生じる。解離された気体は、半導体ウエハなどの固体材料、粉体及びそれ以外の気体の処理を含む多くの産業的及び科学的な応用例で用いられる。解離された気体のパラメータと、解離された気体を処理される材料に露出する条件とは、応用例に応じて大きく変化する。プラズマにおいて解離を生じさせるためには極めて大きな電力が必要となる場合がある。
プラズマ源は、例えば、プラズマ気体(例えば、O、N、Ar、NF、H及びHe)又は気体の混合物に十分な大きさの電位を印加することによって、プラズマを発生させる。プラズマは、DC放電、無線周波数(RF)放電、マイクロ波放電を含む様々な方法で発生させることができる。DC放電プラズマは、プラズマ気体の中にある2つの電極の間に電位を印加することによって達成される。RF放電は、電源からの結合エネルギを静電的に又は誘導的にプラズマに結合させることによって得られる。マイクロ波放電プラズマは、マイクロ波エネルギを直接にマイクロ波透過性のウィンドウを介してプラズマ気体を含む放電チャンバの中に結合することによって達成される。プラズマは、典型的には、アルミニウムなどの金属材料や石英などの誘電材料で構成されたチャンバの中に閉じ込められる。
活性化気体がプラズマ源とは適合的でない応用例が存在する。例えば、半導体製造においては、原子酸素(atom oxygen)をフォトレジストと反応させ、フォトレジストを揮発性のCO及びHOの副産物に変換することによって、半導体ウエハからフォトレジストを取り去る。原子状の酸素は、プラズマ源のプラズマ・チャンバの中のプラズマを用いてO(又は、酸素を含む気体)を解離することによって生じさせるのが典型的である。プラズマ・チャンバは、典型的には、原子状酸素と石英との表面再結合率が低いため、石英で作られる。原子状のフッ素が原子状の酸素と共に用いられることが多いが、その理由は、原子状のフッ素はフォトレジスト除去プロセスを加速するからである。フッ素は、例えば、プラズマ・チャンバの中のプラズマを用いて、NF又はCFを解離することによって発生される。しかし、フッ素は、腐食性が強く、石英チャンバと相互作用をして悪影響を生じる可能性がある。類似する動作条件の下では、チャンバの材料(例えば、サファイアやアルミニウム窒化物)と適合的なフッ素の使用により、原子状の酸素の発生の効率を低下させ、処理コストを増大させることになる。その理由は、フッ素と適合的な材料は、石英よりも効果なのが一般的だからである。
活性化気体がプラズマ・チャンバの材料と適合的でないような別の応用例として、水素を含むプラズマが石英チャンバの中に配置される場合がある。励起された水素原子及び分子は、石英(SiO)と反応して、石英をシリコンに変換してしまう。チャンバの材料組成の変化は、例えば、結果的に、処理パラメータの望まない変動を生じさせるし、パーティクルの発生も引き起こす。他の応用例では、窒素が処理の間にプラズマ・チャンバの中に存在する場合には、石英はSiに変換される可能性がある。
従って、解離された気体のプラズマ・チャンバへの悪影響を最小化するような態様で、プラズマを用いてきた異を効果的に解離する必要性が存在している。
発明の概要
本発明は、気体を解離する方法に関する。この方法は、チャンバの中でプラズマを用いて活性化気体を発生するステップと、前記チャンバの出力に対して下流気体入力を配置して、前記活性化気体が、前記気体入力によって導かれた下流気体の解離を容易化することを可能にするステップと、を含んでおり、前記解離された下流気体は、前記チャンバの内部表面とは実質的に相互作用しない。
ある実施例では、前記プラズマは遠隔プラズマ源によって発生される。また、前記遠隔プラズマ源は、RFプラズマ発生器とマイクロ波プラズマ発生器とDCプラズマ発生器とで構成されるグループから選択された遠隔プラズマ源である。前記プラズマは、酸素と窒素とヘリウムとアルゴンとの中の1又は複数を含むプラズマ気体から発生される。前記下流気体はハロゲン気体を含む。前記下流気体は、F、XeF、NF、CF、CHF、C、CHF、CHF、C及びCで構成されるグループから選択されたハロゲン気体を含む。前記下流気体はフッ素を含む。前記チャンバの内部表面は、石英、アルミニウム酸化物、アルミニウム窒化物、イットリウム及びサファイアで構成されるグループから選択された材料を含む。
また、前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体と前記チャンバの内部表面との間の相互作用を最小化する位置において導かれる。前記下流気体は、前記チャンバの出力に対して、前記下流気体が解離される程度を最大化する位置において導かれる。前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体が前記チャンバの内部表面と相互作用する程度と前記下流気体が解離される程度とを均衡させる位置において導かれる。前記解離された下流気体は基板のエッチングを容易化するのに用いられる。
本発明による方法は、前記下流気体の解離を最適化するように前記下流気体の特性を特定するステップを含む。また、本発明による方法においては、前記特性は、圧力と流率と前記チャンバの出力から注入された距離との中の1又は複数である。前記下流気体の解離を最適化するように前記プラズマ気体の特性を特定するステップを含む。また、前記特性は、圧力と流率と気体のタイプと気体組成とプラズマへの電力との中の1又は複数でありうる。更に、前記下流気体は、前記チャンバに結合されたプロセス・チャンバの中に配置された半導体ウエハの上に積層された材料を含む。
本発明は、気体を解離する方法に関する。この方法は、チャンバの中でプラズマから活性化気体を発生するステップと、前記チャンバの出力に十分に近接した位置において前記チャンバの外部にある前記活性化気体の中に下流気体を導くことにより、前記活性化気体が前記下流気体の解離を容易化するのに十分なエネルギ・レベルを有するようにするステップと、を含んでおり、前記位置が前記チャンバの出力から十分に離間していることにより、前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しない。
本発明は、フォトレジストをエッチングする方法に関する。この方法は、チャンバの中でプラズマを用いて活性化気体を発生するステップと、下流気体と前記活性化気体の少なくとも一部とを混合することにより、i)前記活性化気体が前記下流気体の解離を容易化するのに十分なエネルギ・レベルを有し、ii)前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しないようにするステップと、前記解離された下流気体を用いて基板をエッチングするステップと、を含む。
本発明は、気体を解離する方法に関する。この方法は、チャンバの中でプラズマを用いて活性化気体を発生するステップと、前記プラズマによって定義される領域の外部にある前記活性化気体と相互作用するように下流気体を導き、前記活性化気体が前記下流気体の解離を容易化することを可能にするステップと、を含んでおり、前記解離された気体は前記チャンバの内部表面と実質的に相互作用しない。
本発明は、気体を解離するシステムに関する。このシステムは、チャンバの中で活性化気体を発生するプラズマを発生するプラズマ源と、前記活性化気体の少なくとも一部と下流気体とを混合し、前記活性化気体が前記下流気体の解離を容易化することを可能にする手段と、を含んでおり、前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しない。ことを特徴とするシステム。
本発明は、気体を励起するシステムに関する。このシステムは、チャンバの中でプラズマ領域を発生する遠隔プラズマ源であって、前記プラズマは活性化気体を発生する、遠隔プラズマ源と、前記プラズマ領域の外部にある前記活性化気体と相互作用するように下流気体を導く注入源と、を含んでおり、前記活性化気体は前記下流気体の励起を容易化し、前記励起された下流気体は前記チャンバの内部表面と実質的に相互作用しない。
実施例によっては、前記下流気体の励起は前記下流気体の解離を含む。本発明によるシステムでは、前記チャンバの腐食又は前記チャンバへの積層を減少させるように前記チャンバの出力に配置されたバリアを含む。また、前記バリアは少なくとも部分的には前記チャンバの内部に配置されている。また、前記バリアは少なくとも部分的には前記チャンバの出力経路の内部に配置されている。前記チャンバの出力経路の内部に配置されたバリアを含む。前記チャンバは石英を含む。
更に、前記チャンバはトロイダル形のチャンバでもありうる。前記プラズマ源はトロイダル・プラズマ源でありうる。下流気体と活性化気体とを混合するミキサを含む。前記ミキサは、静流ミキサ、ヘリカル・ミキサ、ブレード又はスタック型円筒ミキサを含む。パージ気体入力を含む。前記パージ気体入力は前記チャンバの出口と前記注入源の入力との間に配置されている。
本発明は、基板の上に材料を積層する方法に関する。この方法は、チャンバの中でプラズマを用いて活性化気体を発生するステップと、前記チャンバの出力に対して下流気体入力を配置して、前記活性化気体が前記気体入力によって導かれる下流気体の解離を容易化するのを可能にするステップと、を含んでおり、前記下流気体は積層される材料を含み、前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しない。
ある実施例では、前記プラズマは遠隔プラズマ源によって発生される。前記遠隔プラズマ源は、RFプラズマ発生器とマイクロ波プラズマ発生器とDCプラズマ発生器とで構成されるグループから選択された遠隔プラズマ源である。前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体と前記チャンバの内部表面との間の相互作用を最小化する位置において導かれる。前記下流気体は、前記チャンバの出力に対して、前記下流気体が解離される程度を最大化する位置において導かれる。前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体が前記チャンバの内部表面と相互作用する程度と前記下流気体が解離される程度とを均衡させる位置において導かれる。積層される材料は、Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr又はAlの中の1又は複数を含む。前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体が前記チャンバの内部表面と相互作用する程度と前記下流気体が解離される程度とを均衡させる位置において導かれる。
本発明は、基板の上に材料を積層するシステムに関する。このシステムは、チャンバの中でプラズマ領域を発生する遠隔プラズマ源であって、前記プラズマは活性化気体を発生する、遠隔プラズマ源と、前記プラズマ領域の外部にある前記活性化気体と相互作用するように、積層材料を含む下流気体を導く注入源と、を含んでおり、前記活性化気体は前記下流気体の励起を容易化し、前記励起された下流気体は前記チャンバの内部表面と実質的に相互作用しない。前記下流気体の励起は前記下流気体の解離を含むことを特徴とするシステム。
また、前記積層材料は、Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr又はAlの中の1又は複数を含む。このシステムは、下流気体と活性化気体とを混合するミキサを含む。前記ミキサは、静流ミキサ、ヘリカル・ミキサ、ブレード又はスタック型円筒ミキサを含む。パージ気体入力を含む。前記パージ気体入力は前記チャンバの出口と前記注入源の入力との間に配置されている。
本発明に関する上述した及びそれ以外の目的、特徴及び効果は、以下の詳細な説明と特許請求の範囲とから明らかになるはずである。
本発明の上述した及びそれ以外の目的、特徴及び効果は、そして本発明自体も、以下の例示的な説明を添付の図面(ただし、必ずしも実際の寸法通りではない)を参照して読むことによってより完全に理解できるはずである。
図1は、本発明を実現する解離された気体を生じる気体解離システム100の概略図である。プラズマは、多くの場合、気体を活性化して励起状態にし、気体の反応性を高めるために用いられる。気体の励起には、気体のエネルギ状態を高くすることが含まれる。ある場合には、気体を励起すると、イオン、自由ラディカル、原子及び分子を含む解離状態にある解離された気体が生じる。システム100は、気体ライン116を介してプラズマ・チャンバ108に接続されたプラズマ気体源112を含む。弁120は、プラズマ気体源112から気体ライン116を通過してプラズマ・チャンバ108に至るプラズマ気体(例えば、O、N、Ar、NF、H、Heなど)の流れを制御する。弁120は、例えば、ソレノイド弁、比例式ソレノイド弁、質量流量コントローラなどでありうる。プラズマ発生器184は、プラズマ・チャンバ108の中にプラズマ領域132を発生する。プラズマ132は、プラズマ活性化気体134を含み、その一部は、チャンバ108の外部に流れ出る。プラズマ活性化気体134は、プラズマ132の加熱とプラズマ気体の活性化との結果として生じる。この実施例では、プラズマ発生器184は、プラズマ・チャンバ108の部分的に周囲に配置される。システム100は、また、プラズマ発生器184への接続128を介して電力を提供してプラズマ・チャンバ108の中でプラズマ132(活性化気体134を含む)を発生させる電源124を含む。プラズマ・チャンバ108は、例えば、アルミニウムや耐火性の金属などの金属材料で形成することができるし、又は、石英やサファイアなどの誘電材料で形成することも可能である。ある実施例では、プラズマ気体以外の気体を用いて活性化気体を発生することもある。ある実施例では、プラズマ気体は、プラズマの発生と活性化気体の発生との両方に用いられる。
プラズマ・チャンバ108は、プロセス・チャンバ156の入力176に経路168を介して接続された出力172を有する。活性化気体134の少なくとも一部は、プラズマ・チャンバ108の出力172から流れ出て、経路168を通過する。活性化気体134の中で運ばれるエネルギの量は、経路168の長さに沿った距離に応じて減少する。注入源104(例えば、気体注入源)は、経路168の長さ方向に沿った距離168の位置に配置されている。注入源104は、また、プラズマ・チャンバ108の下方部分に配置さ
れることもある。気体注入源104は、気体(例えば、活性化気体134によって解離される下流気体)を経路168の領域164の中に導く少なくとも1つの気体入口180を有する。下流気体源136は、下流気体(NF、CF、CHF、C、CHF、C、C、XeF、Cl、ClF、H又はNH)を気体ライン140と気体入口180とを介して、経路168の領域164に導く。弁144が、気体ライン140を通過する下流気体の流れを制御する。下流気体は、例えば、Si、Ge、Ga、In、As、Sb、Al、Cu、Ta、Ti、Mo、W、Hf、Sr、Zrなどを含む積層前駆体(deposition precursors)を含みうる。弁144は、例えば、ソレノイド弁、比例(proportional)ソレノイド弁、質量流量コントローラなどである。
距離148において経路168の領域164の中に導かれた下流気体は、解離された下流気体152の流れを生じる活性化気体134の少なくとも一部と相互作用する。この出願で用いている「下流気体」という用語であるが、これは、気体入口180を通過して経路168の中に導かれる気体を意味する。そして、「解離された下流気体」という用語は、下流気体と活性化気体とが相互作用した結果として生じる気体を意味する。解離された下流気体152は、例えば、活性化気体134と下流気体と活性化気体134によって励起された(例えば、解離された)下流気体との混合物を含む。ある実施例では、解離された下流気体152は、活性化気体134によって解離された気体を実質的に含む。他の実施例では、解離された下流気体152は、例えば、実質的に活性化された気体134を含む。
解離された下流気体152は、経路168を通過して、プロセス・チャンバ156の入力176の中に流れる。プロセス・チャンバ156の中に配置されたサンプル・ホルダ160は、解離された下流気体152によって処理された材料を支持する。オプショナルな気体配分装置又はシャワーヘッド(図示せず)をチャンバ156の入力176に設けて、解離された気体を、例えば、ホルダ160の上に配置された基板の表面に一様に配分(分配)することが可能である。ある実施例では、解離された下流気体152は、プロセス・チャンバ156の中のサンプル・ホルダ160の上に配置された半導体ウエハ又は基板のエッチングを容易化する。別の実施例では、解離された下流気体152は、プロセス・チャンバ156の中のサンプル・ホルダ160の上に配置された基板への薄膜の積層を容易化する。活性化気体134は、下流気体と相互作用して解離された下流気体152を生じさせるのに十分なエネルギを有する。
ある実施例では、経路168の領域164の中に導かれた下流気体のあるパーセンテージが、活性化気体134によって解離される。下流気体が解離される程度(例えば、パーセンテージ)は、例えば、活性化気体134の中で運ばれるエネルギの量とエネルギ・レベルとの関数である。活性化気体134は、下流気体の原子の間の結合を解いて解離を達成する下流気体の結合エネルギ・レベルよりも高いエネルギ・レベルを有することができる。ある実施例では、活性化気体134は、下流気体を熱的に励起して複数の衝突プロセスを通じて解離する(解離させる)のに十分なエネルギを運ぶことができる。例えば、CFは、約5.7eVの結合エネルギを有し、NFは約3.6eVの結合エネルギ・レベルを有する。従って、類似する解離システム100の動作条件の下では、NFを解離するのよりも、CFを解離する場合の方が、より高い活性化気体134のエネルギが要求される。
別の実施例では、活性化気体134の中に含まれているエネルギの量は経路168に沿ったチャンバ108の出力172からの距離に応じて減少するから、距離148は、気体入口180をプラズマ・チャンバ108の出力172に対して配置することに関して、活性化気体134が下流気体源104によって経路168の中に導かれた下流気体の励起(例えば、解離)を効果的に容易化するのに十分なほどに小さな距離でなければならない。
距離148は、また、気体入口をプラズマ・チャンバ108の出力172に対して配置する際に、解離された下流気体152がプラズマ・チャンバ108の内部表面と実質的に相互作用しないほど十分に大きくなければならない。ある実施例では、注入源104は、プラズマ密度がプラズマ・チャンバ108の上限に集中しているときには、プラズマ・チャンバ108の下方部分の中に配置することも可能である。
ある実施例では、システム100は、チャンバ108の出力172における経路168の中に配置されたバリア(図解されていないが、例えば、シールド、ライナなど)を含む。このバリアは、システム100における反応性気体への経路168の露出を減少させることによって、経路168を保護する。ある実施例では、このシールド又はライナは、部分的にチャンバ108の内部に配置されている。このシールド又はライナは、反応性気体(例えば、活性化気体134や解離された下流気体152)に対して実質的に抵抗性を有する材料で作られている。このようにして、シールド又はライナが反応性気体に露出されるため、シールド又はライナは、チャンバ108の腐食を減少させるのに用いられる。
ある実施例では、ライナは、チャンバ108の出力172における経路168の内部に配置される。ライナは、反応性気体と化学的に適合的な材料で作られる。ある実施例では、シールド又はライナは取外し可能であり、週的な交換が可能になっている。シールド又はライナは、従って、化学的な一貫性の点から、プラズマ・チャンバと同じ材料で作ることもできる。
実施例によっては、シールド又はライナは、チャンバ108の中の要素の熱的なストレスを低減する。シールド又はライナは、活性化気体134と解離された下流気体152との中の反応種の損失を減少させる材料で作り、反応種の出力を最大化することができる。再結合性が低い材料には、例えば、石英、ダイアモンド、ダイアモンドライクな炭素、サファイア、ハイドロカーボン、フルオロカーボンなどが含まれる。シールド又はライナは、また、よりよい金属及び熱特性のために、金属で作ることも可能である。金属シールド又はライナの表面を、化学的に適合的な又は表面再結合/反応が低い材料の層でコーティングして、全体的な性能を向上させることも可能である。
ある実施例では、システム100は、プラズマ・チャンバ108の気体出力172と気体入口180との間にパージ気体入力(図示せず)を追加的に含む。パージ気体を気体入口180を介して流すことによって、下流気体がプラズマ・チャンバ108の中へ逆流することを防止(又は、最小化)することができる。この逆流は、プラズマ気体の流率が小さいときに生じうる。パージ気体は、希ガス(例えば、ArやHe)又はプロセスガス(例えば、OやH)でありうる。
ある実施例では、システム100は、経路168における下流気体の解離百分率(パーセンテージ)を測定するセンサ(図示せず)を含む。ある実施例では、同じセンサを用いて、解離された下流気体152がプラズマ・チャンバ108の内部表面(内側表面)と相互作用してどの程度の悪影響を生じるかを判断するのに用いられる。解離百分率と解離された下流気体152がチャンバ108の内部表面との相互作用の程度との両方を測定する例示的なセンサとして、米国ウィスコンシン州マディソン(Madison)所在のサーモ・エレクトロン(Thermo Electron)コーポレーションから市販されているニコレット510Pメトロロジ・ツールがある。このセンサは、例えば、SiF4の存在を測定する。SiFは、石英プラズマ・チャンバとの相互作用から生じるホウ素の副産物(解離された下流気体)である。しかし、このセンサは、必須ではなく、システム100において使用可能であるというだけである。従って、高レベルのSiFの存在を指示するセンサによる測定は、解離された下流気体152が石英プラズマ・チャンバ108の内部表面と相互作用して悪影響を生じていることを意味する。下流気体の解離百分率は、様々なファクタに
左右される。1つのファクタとしては、下流気体が経路168の領域164に導かれる距離148である。別のファクタとして、下流気体が経路168の領域164に導かれる距離148における活性化気体134のエネルギ量である。
ある実施例では、下流気体は、プラズマ・チャンバ108の出力172との関係で、解離された気体152とプラズマ・チャンバ108の内部表面との間の相互作用を最小化する距離148で導かれる。別の実施例では、下流気体は、プラズマ・チャンバ108の出力172との関係で、下流気体が解離される程度を最大化する距離148で導かれる、更に別の実施例では、下流気体は、プラズマ・チャンバ108の出力172との関係で、解離された下流気体152がプラズマ・チャンバ108の内部表面と相互作用する程度と、下流気体が解離される程度とを均衡させる距離で導かれる。
プラズマ源184は、例えば、DCプラズマ発生器、無線周波数(RF)プラズマ発生器、マイクロ波プラズマ発生器などである。プラズマ源184は、遠隔プラズマ源でもよい。例えば、プラズマ源184は、米国マサチューセッツ州ウィルミントン(Wilmington)所在のMKSインスツルメント社によって製造されるASTRON(登録商標)又はR*evolution(登録商標)の遠隔プラズマ源でもよい。DCプラズマ発生器は、プラズマ気体の中にある2つの電極の間に電位を与えることによってDC電荷を生じる。RFプラズマ発生器は、電源からプラズマの中にエネルギを静電的に又は誘導的に結合することによってRF放電を生じる。マイクロ波プラズマ発生器は、マイクロ波エネルギをマイクロ波通過型のウィンドウを介してプラズマ気体を含むプラズマ・チャンバの中に直接に結合することによって、マイクロ波放電を生じる。
ある実施例では、プラズマ源はトロイダル・プラズマ源であり、チャンバ108は石英チャンバである。この石英チャンバは、例えば、一体の溶融石英でありうる。他の実施例では、別のタイプのプラズマ源やチャンバ材料を用いることがありうる。例えば、サファイア、アルミナ、アルミニウム窒化物、イットリウム酸化物、シリコン・カーバイド、ホウ素窒化物、アルミニウムやニッケルやステンレス・スチールなどの金属、陽極酸化処理アルミニウムなどコーティングされた金属を用いることがありうる。
電源124は、例えば、RF電源又はマイクロ波電源でありうる。ある実施例では、プラズマ・チャンバ108は、プラズマ・チャンバ108の中でプラズマ132に点火する初期イオン化イベントを提供する自由電荷を発生する手段を含む。初期イオン化イベントは、プラズマ・チャンバ108に印加される短い高電圧パルスでありうる。このパルスは、約500から1万ボルトの電圧を有していて、約0.1マイクロ秒から100ミリ秒の長さでありうる。アルゴンのような希ガスをプラズマ・チャンバ108の中に導いて、プラズマ132に点火するのに必要な電圧を低下させることが可能である。また、紫外線放射を用いて、プラズマ・チャンバ108の中に自由電荷を発生し、それによって、プラズマ・チャンバ108の中でプラズマ132に点火する初期イオン化イベントを提供することも可能である。
制御システム(図示せず)を用いて、例えば、プラズマ気体源112からプラズマ・チャンバ108の中へのプラズマ気体の流れを規制する弁116(例えば、質量流量コントローラなど)の動作を制御することができる。この制御システムは、また、下流気体源136から領域164の中への下流気体の流れを規制する弁144(例えば、質量流量コントローラなど)の動作を制御するのにも用いることができる。更にまた、この制御システムは、プラズマ発生器184の動作パラメータ(例えば、プラズマ132と後では活性化気体134とに与えられる電力や、気体の流率や圧力など)を修正するのに用いることもできる。
いくつかの実施例では、システム100は、プロセス・チャンバ156の中でサンプル・ホルダ160の上に配置されている半導体ウエハの上に材料を積層することが想定される。例えば、下流気体は、積層材料(例えば、SiH、TEOS、WFなど)を含みうる。下流気体は、また、例えば、Si、Ge、Ga、In、As、Sb、Al、Cu、Ta、Ti、Mo、W、Hf、Sr及びZrなどを含む他の積層前駆体(deposition precursors)を含むことがありうる。活性化気体134は、下流気体の中の積層材料と相互作用して、サンプル・ホルダ160の上に配置されているウエハの上に積層されうる積層種を生じる。積層前駆体がプラズマに露出することにより、前駆体分子が気体面において分解することになる。従って、活性化気体による前駆体の励起は、積層表面の上での前駆体の分解が望ましい応用例では、効果的でありうる。いくつかの実施例では、下流気体は、金属又は半導体材料を含む1又は複数の気体や、金属又は半導体材料を含む酸化物又は窒化物を含む。
システム100は、ミラーやフィルタやレンズなどの基板の上に光学的なコーティングを積層するのに用いることができる。システム100は、基板の表面の性質を修正するのに用いることができる。システム100は、表面を生体適合的にするのに用いることができるし、その水分吸収性を変化させるのに用いることができる。システム100は、微視的又はナノスケールの粒子や粉体を生成するのに用いることができる。
図2A及び2Bは、本発明の原理を組み入れた注入源104のある実施例を図解している。この実施例では、注入源104は、中央領域164を定義するディスク形の本体200を有する。領域164は、本体200の第1の端部208から本体200の第2の端部212まで延長する。注入源104は、それ自体の本体200を通過して延長する6つの入口180a、180b、180c、180d、180e及び180f(全体としては180で表す)を有する。入口180は、それぞれが、本体200の外側表面204における開口から本体200の領域164の内側表面214に沿った開口まで半径方向に延長している。
ある実施例では、入口180は、下流気体源、例えば、図1の下流気体源136に接続されている。下流気体源136は、入口180を介して領域164に至る下流気体の流れを提供する。活性化気体134は、注入源104の第1の端部204において注入源104に入る。活性化気体134の少なくとも一部は、下流気体の少なくとも一部と相互作用して、解離された下流気体152を生じる。解離された下流気体152は、注入源104の本体200の第2の端部212から出て、例えば、解離システム100の経路168に沿って流れる。入口180については、上述のもの以外の数、幾何学的形状及び角度の方向が考えられる。例えば、入口180は、図2Bの端面(end-view)から見た場合に、注入源104の本体200の領域164の中心に対して、ある角度を有するように向けられることがありうる。
図3A及び3Bに図解されている別の実施例では、注入源104は、領域164を定義するディスク形の本体200を有する。本体200は、第1の端部208と第2の端部212とを有する。注入源104は、それ自体の本体200を通過して延長する6つの入口180a、180b、180c、180d、180e及び180f(全体としては180で表す)を有する。他の実施例では、入口の数は異なっている場合がありうる。入口180は、それぞれが、本体200の外側表面204における開口から本体200の領域164の内側表面214に沿った開口まで、角度304を有するように延長している。ある実施例では、入口180は、下流気体源、例えば、図1の下流気体源136に接続されている。下流気体源136は、入口180を介して領域164に至る下流気体の流れを提供する。下流気体は、本体200の第1の端部208を介して領域164に入る活性化気体134によって少なくとも部分的には解離される。解離された下流気体152は、本体20
0の第2の端部212において領域164から外に出る。
例として、NFを解離する実験が行われた。図2A及び2Bの注入源104は、この注入源104の本体(ボディ)200の領域164の中にNFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。図4には、図1の気体解離システム100のような気体解離システムを用いて得られたNFの解離結果のプロット400が図解されている。プロット400のY軸412は、NFの解離百分率(パーセンテージ)である。プロット400のX軸416は、石英プラズマ・チャンバ108の出力172に対して領域164の中へNF(下流気体)が注入される距離148である。
図4は、プラズマ気体(O/N)と下流気体(NF)との流率が固定されていると、NFの解離百分率は気体圧力と共に上昇し、プラズマ・チャンバの出口からの距離と共に減少することを示している。距離148が増大すると、NFの解離百分率は、特定されたプラズマ気体の圧力レベル(2Torr、3Torr、4Torr、5Torr(曲線408)、6Torr(曲線404)、7Torr)に対して減少する。図解されているように、曲線404は、プラズマ気体の圧力が6TorrであってO/Nのプラズマ気体のプラズマ・チャンバ108の中への流率が4/0.4slmである場合には、NFの解離百分率は、約1.0cmと等しい距離148におけるNFの約92%の解離から、約12.2cmと等しい距離148におけるNFの約8%の解離まで減少することを示している。曲線408は、プラズマ気体の圧力が5TorrであってO/Nのプラズマ気体のプラズマ・チャンバ108の中への流率が4/0.4slmである場合には、NFの解離百分率は、約1.0cmと等しい距離148におけるNFの約77%の解離から、約12.2cmと等しい距離148におけるNFの約3%の解離まで減少することを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット(Nicolet)510Pセンサを用いて測定された。このニコレット510Pセンサは、1sccmのSiFを検出する感度を有している。この実験では、様々なプラズマ気体圧力とNF(下流気体)が石英プラズマ・チャンバ108の出力172に対する領域164の中に注入される距離148とに対し、ニコレット・センサを用いて、SiFは測定されなかった。
例として、CFを解離する実験が行われた。図3A及び3Bの注入源104は、この注入源104の本体200の領域164の中にCFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。図5には、図1の気体解離システム100のような気体解離システムを用いて得られたCFの解離結果のプロット500が図解されている。プロット500のY軸512は、CFの解離百分率である。プロット500のX軸516は、石英プラズマ・チャンバ108の出力172に対して経路168の領域164の中へCF(下流気体)が注入される距離148である。
図5は、距離148が増大すると、プラズマ気体(O/N)と下流気体(NF)との流率が固定されていると、NFの解離百分率は気体圧力と共に上昇し、プラズマ・チャンバの出口からの距離と共に減少することを示している。距離148が増大すると、CFの解離百分率は、様々なプラズマ気体のタイプと流率と圧力(4Torrの場合に0.4slmのNと混合された4slmのO、4Torrの場合に4slmのO(曲線504)、2Torrの場合に3slmのN、6Torrの場合に6slmのAr(曲線508))に対して減少することを示している。図解されているように、曲線404は、プラズマ・チャンバ108の中の圧力が4Torrであって、プラズマ気体源112からのOプラズマの流率が4slmである場合には、100sccmのCFの解離
百分率は、約0.53cmと等しい距離148におけるCFの約33%の解離から、約1.05cmと等しい距離148におけるCFの約2%の解離まで減少することを示している。曲線508は、圧力が6TorrにおいてArのプラズマ気体のプラズマ・チャンバ108の中への流率が6slmである場合には、CFの解離百分率は、約0.53cmと等しい距離148におけるCFの約24%の解離から、約1.05cmと等しい距離148におけるCFの約1%の解離まで減少することを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、様々なプラズマ気体圧力とCF(下流気体)が石英プラズマ・チャンバ108の出力172に対する領域164の中に注入される距離148とに対し、ニコレット・センサを用いて、SiFは測定されなかった。
NFを解離する別の実験が行われた。図2A及び2Bの注入源104は、この注入源104の本体200の領域164の中に100sccmのNFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。下流気体(NF)は、石英プラズマ・チャンバ108の出力172に対して、約1cm(すなわち、距離148)において経路168の領域に導かれる。図6には、図1の気体解離システム100のような気体解離システムを用いて得られたNFの解離結果のプロット600が図解されている。プロット600のY軸612は、NFの解離百分率である。プロット600のX軸616は、プラズマ気体源112によってチャンバ108の中に導かれるプラズマ気体の1分当たりの標準リットルにおける気体流率である(N(曲線604)、10/1の気体流率におけるO/N(曲線608)、Ar(曲線610)、H、He)。
図解されているように、曲線604は、Nプラズマ気体に対しては、100sccmのNFの解離百分率は、約1.0slmのNプラズマ気体流率におけるNFの約16%の解離から、約2.3slmのNプラズマ気体流率におけるNFの約82%の解離まで増加することを示している。曲線608は、O/Nのプラズマ気体に対しては、100sccmのNFの解離百分率は、2/0.2slmのO/N気体流率におけるNFの約16%の解離から、5.5/0.55slmのO/N気体流率におけるNFの約79%の解離まで増加することを示している。曲線610は、Arプラズマ気体に対しては、100sccmの流量のNFの解離百分率は、約2.0slmのArプラズマ気体流率におけるNFの約14%の解離から、約10slmのArプラズマ気体流率におけるNFの約29%の解離まで増加することを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、様々なプラズマ気体のタイプ及び流率に対し、ニコレット・センサを用いても、SiFは測定されなかった。
NFを解離する別の実験が行われた。図2A及び2Bの注入源104は、この注入源104の本体200の領域164の中に100sccmのNFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。下流気体(NF)は、プラズマ・チャンバ108の出力172に対して、約1.0cm(すなわち、距離148)においてに導かれる。図7には、図1の気体解離システム100のような気体解離システムを用いて得られたNFの解離結果のプロット700が図解されている。プロット700のY軸712は、NFの解離百分率である。プロット700のX軸716は、プラズマ・チャンバ108の中に導かれたプラズマ気体の単位をTorrとする気圧である。この実験の動作条件の下では、Arプラズマ気体(曲線710として示されている)を用いたNFの解離百分率は、Arの気圧に対して比較的に低感度である。
図解されているように、曲線704は、1slmのNプラズマ流量に対しては、100sccmのNFの解離百分率は、1Torrのプラズマ気体圧力におけるNFの約15%の解離から、3Torrのプラズマ気体圧力におけるNFの約42%の解離まで増加することを示している。曲線608は、4/0.4slmのO/Nのプラズマ気体流量に対しては、100sccmのNFの解離百分率は、1Torrのプラズマ気体圧力におけるNFの約10%の解離から、6Torrのプラズマ気体圧力におけるNFの約90%の解離まで増加することを示している。曲線710は、6slmのArプラズマ気体流量に対しては、100sccmのNFの解離百分率は、2Torrのプラズマ気体圧力では約19%、6Torrのプラズマ気体圧力では約22%、10Torrのプラズマ気体圧力では約21%である。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、様々なプラズマ気体のタイプと流率と圧力とに対し、ニコレット・センサを用いても、SiFは測定されなかった。
NFを解離する別の実験が行われた。図2A及び2Bの注入源104は、この注入源104の本体200の領域164の中にNFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。下流気体(NF)は、プラズマ・チャンバ108の出力172に対して、約1.0cm(すなわち、距離148)においてに導かれる。図8には、図1の気体解離システム100のような気体解離システムを用いて得られたNFの解離結果のプロット800が図解されている。プロット800のY軸812は、NFの解離百分率である。プロット700のX軸816は、単位をsccmとする下流気体NFの流率である。
曲線804は、流率が4/0.4slmのO/Nプラズマ気体に対しては、NFの解離百分率は、約25sccmのNFの流率から約200sccmのNFの流率まで約75%に保たれている。この曲線は、これらの動作条件の下ではNFの解離百分率は、NFの相対的に一定の解離によって示されているように、NFの流率に対して低感度であることを示している(曲線804)。図8のプロット800の曲線806は、約6slmの流率で6Torrの圧力でのArプラズマ気体に対しては、NFの解離百分率は、約50sccmのNFの流率における約40%から、約200sccmのNFの流率における約15%まで増加することを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、気体解離システム100の様々な動作条件に対し、ニコレット・センサを用いても、SiFは測定されなかった。
例えば、CFを解離する別の実験が行われた。図3A及び3Bの注入源104は、この注入源104の本体200の領域164の中に100sccmのCFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。入口180のそれぞれに対する角度304に対して、30度の角度が選択された。下流気体(CF)は、石英プラズマ・チャンバ108の出力172に対して、約0.5cm(すなわち、距離148)において導かれる。図9には、図1の気体解離システム100のような気体解離システムを用いて得られたCFの解離結果のプロット900が図解されている。プロット900のY軸912は、CFの解離百分率である。プロット900のX軸916は、プラズマ気体源112によってチャンバ108の中に導かれるプラズマ気体の1分当たりの標準リットルにおける気体流率である(N(曲線904)、O/N(曲線9
08)、O、Ar)。
図9は、100sccmの下流気体CFでは、プラズマ気体流率が増加するとCFの解離百分率が増加することを示している。図解されているように、曲線904は、Nプラズマ気体に対しては、毎分100標準立方センチメートルのCFの解離百分率は、約1.0slmのNプラズマ気体流率におけるCFの約10%の解離から、約3slmのNプラズマ気体流率におけるCFの約32%の解離まで増加することを示している。曲線908は、O/Nのプラズマ気体に対しては、100sccmのCFの解離百分率は、2.0/0.2slmのO/N気体流率におけるCFの約5%の解離から、5.0/0.5slmのO/N気体流率におけるCFの約46%の解離まで増加することを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、様々なプラズマ気体のタイプ及び流率に対し、ニコレット・センサを用いても、SiFは測定されなかった。
例示として、CFを解離する別の実験が行われた。図3A及び3Bの注入源104は、この注入源104の本体200の領域164の中に100sccmのCFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。入口180のそれぞれに対する角度304として、30度の角度が選択された。下流気体(CF)は、プラズマ・チャンバ108の出力172に対して、約0.5cm(すなわち、距離148)においてに導かれる。図10には、図1の気体解離システム100のような気体解離システムを用いて得られたCFの解離結果のプロット1000が図解されている。プロット1000のY軸1012は、CFの解離百分率である。プロット1000のX軸1016は、プラズマ気体の単位をTorrとする気圧である(1slmのN、4/0.4slmのO/N(曲線1004)、4slmのO、6slmのAr)。
曲線1004は、4/0.4slmのO/Nプラズマ流量に対しては、CFの毎分100標準立方センチメートルのsccmのNFの流量の解離百分率は、1Torrのプラズマ気体圧力におけるCFの約5%の解離から、6Torrのプラズマ気体圧力におけるCFの約39%の解離まで増加することを示している。曲線1008は、6slmのArプラズマ気体流量に対しては、CFの毎分100標準立方センチメートルのsccmのNFの流量の解離百分率は、2.0Torrのプラズマ気体圧力におけるCFの約20%の解離から、10Torrのプラズマ気体圧力におけるCFの約25%の解離まで増加することを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、様々なプラズマ気体のタイプと流率と圧力とに対し、ニコレット・センサを用いても、SiFは測定されなかった。
NFを解離する別の実験が行われた。図2A及び2Bの注入源104は、この注入源104の本体200の領域164の中にNFを導くのに用いられた。入口180のそれぞれに対して、約0.5mmの内径が選択された。下流気体(NF)は、プラズマ・チャンバ108の出力172に対して、約1.0cm(すなわち、距離148)においてに導かれる。図8には、図1の気体解離システム100のような気体解離システムを用いて得られたNFの解離結果のプロット800が図解されている。プロット800のY軸812は、NFの解離百分率である。プロット700のX軸816は、単位をsccmとする下流気体NFの流率である。
図11Aには、図1の気体解離システム100のような気体解離システムを用いて得られたCHFの解離結果のプロット1100が図解されている。プラズマ気体は、OとNとの比率が10:1であるO/Nの混合物である。プロット1100のY軸1112は、CHFの解離百分率である。プロット1100のX軸1116は、プラズマ気体源112によってチャンバ108の中に導かれたプラズマ気体におけるOの1分当たりの標準リットルにおける気体流率である。図11Aの曲線1104は、1.5Torrのプラズマ気体圧力と100sccmの流量の下流気体CHFに対しては、1slmから4slmの範囲のプラズマ気体におけるOの流率を用いると、ほぼ100%のCHFの解離が得られることを示している。
図11Bには、図1の気体解離システム100のような気体解離システムを用いて得られたCHFの解離結果のプロット1102が図解されている。プロット1102のY軸1114は、CHFの解離百分率である。プロット1102のX軸1118は、sccmを単位とする下流CHFの流率である。図11Bの曲線1108は、1.5Torrのプラズマ気体圧力における4slmのOと0.4slmのNとのプラズマ気体流率では、100sccmから200sccmの範囲の下流CHFの流率を用いると、ほぼ100%のCHFの解離が得られることを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、様々なプラズマ気体圧力とCHF(下流気体)が石英プラズマ・チャンバ108の出力172に対する領域164の中に注入される距離148とに対し、ニコレット・センサを用いて、SiFは測定されなかった。
図12に図解されている別の実施例では、システム100は、プラズマ・チャンバ108に気体ライン116を介して接続されたプラズマ気体源112を含む。プラズマ発生器184は、プラズマ・チャンバ108の中にプラズマ領域132を発生する。プラズマ132は、プラズマ下流気体134を含み、その一部は、プラズマ領域132の外へ流れる。システム100は、注入源104を含む。この実施例では、注入源104は、注入源104の気体入口に結合されたL形のパイプ190を含む。パイプ190は、気体(例えば、活性化気体134によって解離される下流気体)をシステム100の領域192に導く。領域192(すなわち、活性化気体134が下流気体と相互作用する場所)は、パイプ190の出力196がどこに配置されているかに左右される。パイプ190の出力196は、例えば、プラズマ・チャンバ108の出力172の中の距離194に配置されうる。パイプ190の出力196は、また、例えば、注入源104が出力172から遠ざかってプロセス・チャンバ156の方向へ移動される場合には、チャンバ108の出力172の外部にある距離に配置することもできる。このようにして、下流気体は、プラズマ・チャンバ108の内部又は外部においてシステム100の中へ導かれる。
例として、NFを解離する実験が行われた。図12の注入源104は、システム100の領域192の中にNFを導くのに用いられた。図13には、図12の気体解離システム100のような気体解離システムを用いて得られたNFの解離結果のプロット1300が図解されている。プロット1300のY軸1312は、NFの解離百分率(パーセンテージ)である。プロット1300のX軸1316は、石英プラズマ・チャンバ108の出力172に対して領域164の中へNF(下流気体)が注入される距離148である。この実験では、あるテストの際に、NFは、チャンバ108の出力172の内部に約0.5cmの距離194において注入された。NFは、別のテストの際には、チャンバ108の出力172の外部にある距離148(約1.0cm、3.8cm、6.6cm、9.4cm、12.2cm)においても注入された。
図13は、NFの解離百分率は、様々なプラズマ気体タイプ、流率及び圧力に対して減少することを示している(4Torrの毎分4標準リットル(slm)のO(曲線1304)、2Torrの3slmのN、9Torrの10slmのAr、6Torrの6slmのAr、4Torrでの4slmのOと0.4slmのNとの混合物(曲線1308))。図解されているように、曲線1304は、プラズマ気体の圧力が4TorrであってOのプラズマ気体のプラズマ気体源112からプラズマ・チャンバ108の中への流率が4slmである場合には、100sccmのNFの解離百分率は、約0.5cmと等しい距離194におけるNFの約90%の解離から、約12.2cmと等しい距離148におけるNFの約2%の解離まで減少することを示している。曲線1308は、プラズマ気体の圧力が4TorrであってO/Nのプラズマ気体のプラズマ・チャンバ108の中への流率が4/0.4slmである場合には、NFの解離百分率は、約0.5cmと等しい距離194におけるNFの約81%の解離から、約12.2cmと等しい距離148におけるNFの約0%の解離まで減少することを示している。
この実験では、石英チャンバ108に対する解離された下流気体152の最小の悪影響が、上述したニコレット510Pセンサを用いて測定された。この実験では、様々なプラズマ気体圧力とNF(下流気体)が石英プラズマ・チャンバ108の出力172に対する領域192の中に注入される距離148とに対し、ニコレット・センサを用いて、SiFは測定されなかった。
図14は、本発明を実現する解離気体を生じる際に用いられる注入源104を含む気体解離システム(例えば、図1のシステム100)の一部の概略的な断面図である。注入源104の本体200は、プラズマ・チャンバ108の出力172に接続されている(チャンバ108の一部だけが、図解を明確にする目的のために示されている)。注入源104は、注入源104の本体を通過して延長する6つの入口180a、180b、180c、180d、180e、180f(全体を180で示す)を有する。入口180b、180c、180e、180fは、図解を明確にする目的のために省略されている。入口180は、それぞれが、本体200の外部表面204における開口から、本体200の領域164の内側表面214に沿った開口まで延長している。入口180は、下流気体源(例えば、図1の気体源136)に接続され、下流気体の流れを入口180を介して領域164に提供する。
プラズマ活性化気体134は、プラズマ・チャンバ108の出力172を介して領域164に入る。下流気体とプラズマ活性化気体134との間の反応は、2つの気体のストリームが混合されるときに生じる。気体の混合を促進すると、下流気体の解離が進行する。いくつかの実施例では、気体の混合がプラズマ・チャンバの出力172に近接した位置で生じることが効果的である。このようにして、混合は、例えば、プロセス・チャンバに入る際に、解離された気体に対して最小の効果を有しうる。
ヘリカル・ミキサ、ブレード、スタック形円筒ミキサなど様々な静フロー・ミキサを、下流気体とプラズマ活性化気体とを混合するのに用いることができる。図14を参照すると、この実施例では、領域164の直径は、プラズマ・チャンバ出力172の直径1408よりも大きい。出口1408の直径1408が領域164の直径1404に変化することに起因して、フロー経路の直径の急激な拡大し、それによって、活性化気体フロー134の発生時に領域164において乱流と気体の再循環とが生じる。乱流及び再循環により混合が強化され、下流気体の解離が促進される。
この出願において記載された内容の変更、修正及び改良は、当業者であれば、特許請求の範囲に記載された発明の精神及び範囲から逸脱することなく想到できる。従って、本発
明は、以上の発明の詳細な説明によって定義されるのではなく、特許請求の範囲の精神及び範囲によって定義される。
解離された気体を生じて本発明を実施するプラズマ源の部分的な概略図である。 図2Aは、本発明の実施例による気体注入源の断面図である。図2Bは、図2Aの注入源を端部から見た図である。 図3Aは、本発明の実施例による気体注入源の断面図である。図3Bは、図3Aの注入源を端部から見た図である。 本発明による気体解離システムを用いた場合に、NFがプラズマ源に注入される石英プラズマ・チャンバの出力からの距離の関数としてNFの解離百分率を表したグラフ表現である。 本発明による気体解離システムを用いた場合に、CFがプラズマ源に注入される石英プラズマ・チャンバの出力からの距離の関数としてCFの解離百分率を表したグラフ表現である。 本発明による気体解離システムを用いた場合に、プラズマ気体流率の関数としてNFの解離百分率を表したグラフ表現である。 本発明による気体解離システムを用いた場合に、プラズマ気体圧力の関数としてNFの解離百分率を表したグラフ表現である。 本発明による気体解離システムを用いた場合に、下流NF流率の関数としてのNFの解離百分率を表したグラフ表現である。 本発明による気体解離システムを用いた場合に、プラズマ気体流率の関数としてCFの解離百分率を表したグラフ表現である。 本発明による気体解離システムを用いた場合に、プラズマ気体圧力の関数としてCFの解離百分率を表したグラフ表現である。 図11Aは、本発明による気体解離システムを用いた場合に、プラズマ気体流率の関数としてCHFの解離百分率を表したグラフ表現である。図11Bは、本発明による気体解離システムを用いた場合に、下流CHF流率の関数としてのCHFの解離百分率を表したグラフ表現である。 解離された気体を生じて本発明を実施するプラズマ源の部分的な概略図である。 本発明による気体解離システムを用いた場合に、NFがプラズマ源に注入される石英プラズマ・チャンバの出力からの距離の関数としてNFの解離百分率を表したグラフ表現である。 本発明の実施例による気体注入源の一部の断面図である。

Claims (49)

  1. 気体を解離する方法であって、
    チャンバの中でプラズマを用いて活性化気体を発生するステップと、
    前記チャンバの出力に対して下流気体入力を配置して、前記活性化気体が、前記気体入力によって導かれた下流気体の解離を容易化することを可能にするステップと、
    を含んでおり、前記解離された下流気体は、前記チャンバの内部表面とは実質的に相互作用しないことを特徴とする方法。
  2. 請求項1記載の方法において、前記プラズマは遠隔プラズマ源によって発生されることを特徴とする方法。
  3. 請求項2記載の方法において、前記遠隔プラズマ源は、RFプラズマ発生器とマイクロ波プラズマ発生器とDCプラズマ発生器とで構成されるグループから選択された遠隔プラズマ源であることを特徴とする方法。
  4. 請求項1記載の方法において、前記プラズマは、酸素と窒素とヘリウムとアルゴンとの中の1又は複数を含むプラズマ気体から発生されることを特徴とする方法。
  5. 請求項1記載の方法において、前記下流気体はハロゲン気体を含むことを特徴とする方法。
  6. 請求項5記載の方法において、前記下流気体は、F、XeF、NF、CF、CHF、C、CHF、CHF、C及びCで構成されるグループから選択されたハロゲン気体を含むことを特徴とする方法。
  7. 請求項1記載の方法において、前記下流気体はフッ素を含むことを特徴とする方法。
  8. 請求項1記載の方法において、前記チャンバの内部表面は、石英、アルミニウム酸化物、アルミニウム窒化物、イットリウム及びサファイアで構成されるグループから選択された材料を含むことを特徴とする方法。
  9. 請求項1記載の方法において、前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体と前記チャンバの内部表面との間の相互作用を最小化する位置において導かれることを特徴とする方法。
  10. 請求項1記載の方法において、前記下流気体は、前記チャンバの出力に対して、前記下流気体が解離される程度を最大化する位置において導かれることを特徴とする方法。
  11. 請求項1記載の方法において、前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体が前記チャンバの内部表面と相互作用する程度と前記下流気体が解離される程度とを均衡させる位置において導かれることを特徴とする方法。
  12. 請求項1記載の方法において、前記解離された下流気体は基板のエッチングを容易化するのに用いられることを特徴とする方法。
  13. 請求項1記載の方法において、前記下流気体の解離を最適化するように前記下流気体の特性を特定するステップを含むことを特徴とする方法。
  14. 請求項13記載の方法において、前記特性は、圧力と流率と前記チャンバの出力から注
    入された距離との中の1又は複数であることを特徴とする方法。
  15. 請求項4記載の方法において、前記下流気体の解離を最適化するように前記プラズマ気体の特性を特定するステップを含むことを特徴とする方法。
  16. 請求項15記載の方法において、前記特性は、圧力と流率と気体のタイプと気体組成とプラズマへの電力との中の1又は複数であることを特徴とする方法。
  17. 請求項1記載の方法において、前記下流気体は、前記チャンバに結合されたプロセス・チャンバの中に配置された半導体ウエハの上に積層された材料を含むことを特徴とする方法。
  18. 気体を解離する方法であって、
    チャンバの中でプラズマから活性化気体を発生するステップと、
    前記チャンバの出力に十分に近接した位置において前記チャンバの外部にある前記活性化気体の中に下流気体を導くことにより、前記活性化気体が前記下流気体の解離を容易化するのに十分なエネルギ・レベルを有するようにするステップと、
    を含んでおり、前記位置が前記チャンバの出力から十分に離間していることにより、前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しないことを特徴とする方法。
  19. フォトレジストをエッチングする方法であって、
    チャンバの中でプラズマを用いて活性化気体を発生するステップと、
    下流気体と前記活性化気体の少なくとも一部とを混合することにより、i)前記活性化気体が前記下流気体の解離を容易化するのに十分なエネルギ・レベルを有し、ii)前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しないようにするステップと、
    前記解離された下流気体を用いて基板をエッチングするステップと、
    を含むことを特徴とする方法。
  20. 気体を解離する方法であって、
    チャンバの中でプラズマを用いて活性化気体を発生するステップと、
    前記プラズマによって定義される領域の外部にある前記活性化気体と相互作用するように下流気体を導き、前記活性化気体が前記下流気体の解離を容易化することを可能にするステップと、
    を含んでおり、前記解離された気体は前記チャンバの内部表面と実質的に相互作用しないことを特徴とする方法。
  21. 気体を解離するシステムであって、
    チャンバの中で活性化気体を発生するプラズマを発生するプラズマ源と、
    前記活性化気体の少なくとも一部と下流気体とを混合し、前記活性化気体が前記下流気体の解離を容易化することを可能にする手段と、
    を含んでおり、前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しないことを特徴とするシステム。
  22. 気体を励起するシステムであって、
    チャンバの中でプラズマ領域を発生する遠隔プラズマ源であって、前記プラズマは活性化気体を発生する、遠隔プラズマ源と、
    前記プラズマ領域の外部にある前記活性化気体と相互作用するように下流気体を導く注入源と、
    を含んでおり、前記活性化気体は前記下流気体の励起を容易化し、前記励起された下流気体は前記チャンバの内部表面と実質的に相互作用しないことを特徴とするシステム。
  23. 請求項22記載のシステムにおいて、前記下流気体の励起は前記下流気体の解離を含むことを特徴とするシステム。
  24. 請求項22記載のシステムにおいて、前記チャンバの腐食又は前記チャンバへの積層を減少させるように前記チャンバの出力に配置されたバリアを含むことを特徴とするシステム。
  25. 請求項24記載のシステムにおいて、前記バリアは少なくとも部分的には前記チャンバの内部に配置されていることを特徴とするシステム。
  26. 請求項24記載のシステムにおいて、前記バリアは少なくとも部分的には前記チャンバの出力経路の内部に配置されていることを特徴とするシステム。
  27. 請求項22記載のシステムにおいて、前記チャンバの出力経路の内部に配置されたバリアを含むことを特徴とするシステム。
  28. 請求項22記載のシステムにおいて、前記チャンバは石英を含むことを特徴とするシステム。
  29. 請求項28記載のシステムにおいて、前記チャンバはトロイダル形のチャンバであることを特徴とするシステム。
  30. 請求項22記載のシステムにおいて、前記プラズマ源はトロイダル・プラズマ源であることを特徴とするシステム。
  31. 請求項22記載のシステムにおいて、下流気体と活性化気体とを混合するミキサを含むことを特徴とするシステム。
  32. 請求項31記載のシステムにおいて、前記ミキサは、静流ミキサ、ヘリカル・ミキサ、ブレード又はスタック型円筒ミキサを含むことを特徴とするシステム。
  33. 請求項22記載のシステムにおいて、パージ気体入力を含むことを特徴とするシステム。
  34. 請求項33記載のシステムにおいて、前記パージ気体入力は前記チャンバの出口と前記注入源の入力との間に配置されていることを特徴とするシステム。
  35. 基板の上に材料を積層する方法であって、
    チャンバの中でプラズマを用いて活性化気体を発生するステップと、
    前記チャンバの出力に対して下流気体入力を配置して、前記活性化気体が前記気体入力によって導かれる下流気体の解離を容易化するのを可能にするステップと、
    を含んでおり、前記下流気体は積層される材料を含み、前記解離された下流気体は前記チャンバの内部表面と実質的に相互作用しないことを特徴とする方法。
  36. 請求項35記載の方法において、前記プラズマは遠隔プラズマ源によって発生されることを特徴とする方法。
  37. 請求項35記載の方法において、前記遠隔プラズマ源は、RFプラズマ発生器とマイクロ波プラズマ発生器とDCプラズマ発生器とで構成されるグループから選択された遠隔プラズマ源であることを特徴とする方法。
  38. 請求項35記載の方法において、前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体と前記チャンバの内部表面との間の相互作用を最小化する位置において導かれることを特徴とする方法。
  39. 請求項35記載の方法において、前記下流気体は、前記チャンバの出力に対して、前記下流気体が解離される程度を最大化する位置において導かれることを特徴とする方法。
  40. 請求項35記載の方法において、前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体が前記チャンバの内部表面と相互作用する程度と前記下流気体が解離される程度とを均衡させる位置において導かれることを特徴とする方法。
  41. 請求項35記載の方法において、積層される材料は、Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr又はAlの中の1又は複数を含むことを特徴とする方法。
  42. 請求項35記載の方法において、前記下流気体は、前記チャンバの出力に対して、前記解離された下流気体が前記チャンバの内部表面と相互作用する程度と前記下流気体が解離される程度とを均衡させる位置において導かれることを特徴とする方法。
  43. 基板の上に材料を積層するシステムであって、
    チャンバの中でプラズマ領域を発生する遠隔プラズマ源であって、前記プラズマは活性化気体を発生する、遠隔プラズマ源と、
    前記プラズマ領域の外部にある前記活性化気体と相互作用するように、積層材料を含む下流気体を導く注入源と、
    を含んでおり、前記活性化気体は前記下流気体の励起を容易化し、前記励起された下流気体は前記チャンバの内部表面と実質的に相互作用しないことを特徴とするシステム。
  44. 請求項43記載のシステムにおいて、前記下流気体の励起は前記下流気体の解離を含むことを特徴とするシステム。
  45. 請求項43記載のシステムにおいて、前記積層材料は、Si、Ge、Ga、In、As、Sb、Ta、W、Mo、Ti、Hf、Zr、Cu、Sr又はAlの中の1又は複数を含むことを特徴とする方法。
  46. 請求項43記載のシステムにおいて、下流気体と活性化気体とを混合するミキサを含むことを特徴とするシステム。
  47. 請求項46記載のシステムにおいて、前記ミキサは、静流ミキサ、ヘリカル・ミキサ、ブレード又はスタック型円筒ミキサを含むことを特徴とするシステム。
  48. 請求項43記載のシステムにおいて、パージ気体入力を含むことを特徴とするシステム。
  49. 請求項48記載のシステムにおいて、前記パージ気体入力は前記チャンバの出口と前記注入源の入力との間に配置されていることを特徴とするシステム。
JP2007544648A 2004-12-03 2005-12-02 気体の下流解離化のための方法及び装置 Pending JP2008523592A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/003,109 US20060118240A1 (en) 2004-12-03 2004-12-03 Methods and apparatus for downstream dissociation of gases
PCT/US2005/045426 WO2006060827A2 (en) 2004-12-03 2005-12-02 Methods and apparatus for downstream dissociation of gases

Publications (1)

Publication Number Publication Date
JP2008523592A true JP2008523592A (ja) 2008-07-03

Family

ID=36263879

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007544648A Pending JP2008523592A (ja) 2004-12-03 2005-12-02 気体の下流解離化のための方法及び装置

Country Status (8)

Country Link
US (2) US20060118240A1 (ja)
JP (1) JP2008523592A (ja)
KR (1) KR20070085588A (ja)
CN (1) CN101068614A (ja)
DE (1) DE112005003045T5 (ja)
GB (1) GB2435581A (ja)
TW (1) TW200637646A (ja)
WO (1) WO2006060827A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018109598A (ja) * 2017-01-05 2018-07-12 富蘭登科技股▲ふん▼有限公司 スペクトロメータを用いて気体解離状態を測定する測定方法及びその装置

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
KR20080077642A (ko) * 2005-12-23 2008-08-25 엠케이에스 인스트루먼츠, 인코포레이티드 가스들의 다운스트림 해리용 방법들 및 장치들
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
KR100762714B1 (ko) * 2006-10-27 2007-10-02 피에스케이 주식회사 플라스마를 이용하여 기판을 처리하는 장치, 플라스마를공급하는 방법 및 플라스마를 공급하여 기판을 처리하는방법
US20080302652A1 (en) * 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control
KR101595686B1 (ko) * 2007-10-19 2016-02-18 엠케이에스 인스트루먼츠, 인코포레이티드 높은 가스 유량 공정을 위한 환형 플라즈마 챔버
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
CN101880880B (zh) * 2009-05-06 2011-07-27 中国科学院微电子研究所 二氧化碳缓冲硅片打孔装置
US8282906B2 (en) 2009-12-23 2012-10-09 3M Innovative Properties Company Remote plasma synthesis of metal oxide nanoparticles
US8101245B1 (en) * 2010-08-12 2012-01-24 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130118589A1 (en) 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR20150050638A (ko) * 2013-10-29 2015-05-11 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9478408B2 (en) * 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10395918B2 (en) * 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105390363A (zh) * 2015-10-29 2016-03-09 上海华力微电子有限公司 一种高密度等离子体机台的管路装置
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN106367736B (zh) * 2016-11-14 2018-11-30 张宇顺 远端电浆增强化学气相沉积装置
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11114287B2 (en) 2018-06-14 2021-09-07 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102610827B1 (ko) 2018-12-20 2023-12-07 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR102252929B1 (ko) * 2019-07-26 2021-05-17 주식회사 엠디케이 기판 처리 장치
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
FR2664294B1 (fr) * 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JP3231426B2 (ja) * 1992-10-28 2001-11-19 富士通株式会社 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置
US5523063A (en) * 1992-12-02 1996-06-04 Applied Materials, Inc. Apparatus for the turbulent mixing of gases
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5423942A (en) * 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6030506A (en) * 1997-09-16 2000-02-29 Thermo Power Corporation Preparation of independently generated highly reactive chemical species
JPH10326771A (ja) * 1997-05-23 1998-12-08 Fujitsu Ltd 水素プラズマダウンストリーム処理装置及び水素プラズマダウンストリーム処理方法
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6606802B2 (en) * 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018109598A (ja) * 2017-01-05 2018-07-12 富蘭登科技股▲ふん▼有限公司 スペクトロメータを用いて気体解離状態を測定する測定方法及びその装置

Also Published As

Publication number Publication date
KR20070085588A (ko) 2007-08-27
DE112005003045T5 (de) 2007-10-31
WO2006060827A3 (en) 2006-08-24
US20060137612A1 (en) 2006-06-29
US20060118240A1 (en) 2006-06-08
WO2006060827A2 (en) 2006-06-08
TW200637646A (en) 2006-11-01
GB0710106D0 (en) 2007-07-04
GB2435581A (en) 2007-08-29
CN101068614A (zh) 2007-11-07

Similar Documents

Publication Publication Date Title
JP2008523592A (ja) 気体の下流解離化のための方法及び装置
KR20080077642A (ko) 가스들의 다운스트림 해리용 방법들 및 장치들
US20210343510A1 (en) Quartz component with protective coating
US6551939B2 (en) Plasma surface treatment method and resulting device
US10361088B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US20070272299A1 (en) Methods and apparatus for downstream dissociation of gases
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
JP4347438B2 (ja) ガス混合装置
JP6759004B2 (ja) 被処理体を処理する方法
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
WO2004042798A2 (en) Apparatus and method for treating objects with radicals generated from plasma
US10923328B2 (en) Plasma processing method and plasma processing apparatus
JP2001274105A (ja) セルフクリーニング用の遠隔プラズマソースを備えた半導体処理装置
US11087959B2 (en) Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
WO1998021749A1 (fr) Procede de nettoyage d'un dispositif de traitement au plasma et procede de traitement au plasma
US20030066486A1 (en) Microwave heat shield for plasma chamber
JP2005093737A (ja) プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
US7763551B2 (en) RLSA CVD deposition control using halogen gas for hydrogen scavenging
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체
JP6952542B2 (ja) プラズマ処理方法およびプラズマ処理装置
EP1156511A1 (en) Remote plasma CVD apparatus
US20210172049A1 (en) Method and apparatus for forming a plasma resistant coating, component, and plasma processing apparatus
CN114929934A (zh) 用于提高粗抽泵的性能的氨气减排
JPH09241850A (ja) Cvd装置
CN110581050A (zh) 处理方法和等离子体处理装置