DE112005003045T5 - Verfahren und Vorrichtung zum flussabwärtsdissoziieren von Gasen - Google Patents

Verfahren und Vorrichtung zum flussabwärtsdissoziieren von Gasen Download PDF

Info

Publication number
DE112005003045T5
DE112005003045T5 DE112005003045T DE112005003045T DE112005003045T5 DE 112005003045 T5 DE112005003045 T5 DE 112005003045T5 DE 112005003045 T DE112005003045 T DE 112005003045T DE 112005003045 T DE112005003045 T DE 112005003045T DE 112005003045 T5 DE112005003045 T5 DE 112005003045T5
Authority
DE
Germany
Prior art keywords
gas
chamber
plasma
downstream
downstream gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE112005003045T
Other languages
English (en)
Inventor
William M. Winchester Holber
Xing Lexington Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of DE112005003045T5 publication Critical patent/DE112005003045T5/de
Ceased legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J12/00Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0873Materials to be treated
    • B01J2219/0875Gas

Abstract

Ein Verfahren zum Dissoziieren von Gasen, umfassend:
Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer; und
Positionieren eines Flussabwärtsgas-Eingangs relativ zu einem Ausgang der Kammer, damit das aktivierte Gas Dissoziation eines Flussabwärtsgases ermöglichen kann, das durch den Gaseingang eingebracht wird, wobei das dissoziierte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.

Description

  • Gebiet der Erfindung
  • Die Erfindung bezieht sich auf Verfahren und Vorrichtungen zum Aktivieren von Gasen. Genauer bezieht sich die Erfindung auf Verfahren und Vorrichtungen zum Herstellen dissoziierter Gase und Vorrichtungen zum Verarbeiten und Verfahren des Verarbeitens von Materialien mit dissoziierten Gasen.
  • Hintergrund der Erfindung
  • Plasmen werden oft verwendet, um Gase zu aktivieren, indem man sie in einen angeregten Zustand versetzt, so dass die Gase eine erhöhte Reaktivität haben. Das Anregen eines Gases geht mit dem Erhöhen des Energiestatus des Gases einher. In manchen Fällen werden die Gase angeregt, um dissoziierte Gase herzustellen, die Ionen, freie Radikale, Atome und Moleküle enthalten. Dissoziierte Gase werden für zahlreiche industrielle und wissenschaftliche Anwendungen verwendet, einschließlich der Verarbeitung fester Materialien wie etwa Halbleiterwaver, Pulver und anderer Gase. Die Parameter des dissoziierten Gases und die Bedingungen unter denen das Material, das verarbeitet wird, dem dissoziierten Gas ausgesetzt werden, weichen stark voneinander ab, in Abhängigkeit von der Anwendung. Signifikante Mengen von Pulvern werden manchmal im Plasma benötigt, damit Dissoziation auftreten kann.
  • Plasmaquellen erzeugen Plasmen, indem sie z.B. ein elektrisches Potential ausreichender Größenordnung an ein Plasmagas anlegen (z.B. O2, N2, Ar, NF3, H2 und He), oder an eine Mischung von Gasen, um zumindest einen Teil des Gases zu ionisieren. Plasmen können auf verschiedenen Wegen hergestellt werden, einschließlich Gleichstromentladung, Hochfrequenz-(HF)entladung und Mikrowellenentladung. Gleichstromentladungsplasmen werden erreicht, indem ein Potential zwischen zwei Elektroden in einem Plasmagas angelegt wird. Hochfrequenzentladungsplasmen werden erreicht, indem entweder elektrostatisch oder induktiv Energie von einer Stromversorgung in ein Plasma gekoppelt wird. Mikrowellenentladungsplasmen werden erreicht, indem Mikrowellenenergie direkt durch ein Mikrowellendurchlässiges Fenster in eine Entladungskammer gekoppelt wird, die ein Plasmagas enthält. Plasmen sind typischerweise in Kammern enthalten, die aus metallischen Materialien wie etwa Aluminium bestehen, oder dielektrischen Materialien wie etwa Quarz.
  • Es gibt Anwendungen, in denen ein aktiviertes Gas mit der Plasmaquelle nicht kompatibel sein kann. Z.B. lässt man während der Halbleiterherstellung atomischen Sauerstoff mit einem Fotolack reagieren, um Fotolack von einem Halbleiterwaver zu entfernen, indem der Fotolack in flüchtige CO2 und H2O Nebenprodukte umgewandelt wird. Atomischer Sauerstoff wird typischerweise produziert, indem O2 (oder ein Gas, das Sauerstoff enthält) mit einem Plasma in einer Plasmakammer einer Plasmaquelle dissoziiert wird. Die Plasmakammer ist typischerweise aus Quarz hergestellt, wegen des niedrigen Oberflächenrekombinationsfaktors von atomischem Sauerstoff mit Quarz. Atomisches Fluor wird oft in Verbindung mit atomischem Sauerstoff verwendet, weil das atomische Fluor den Prozess der Fotolackentfernung beschleunigt. Fluor wird z.B. durch Dissoziieren von NF3 oder CF4 mit dem Plasma in der Plasmakammer erzeugt. Fluor ist aber stark korrosiv und kann mit der Quarzkammer nachteilig reagieren. Unter ähnlichen Betriebsbedingungen reduziert die Verwendung eines Fluor-kompatiblen Kammermaterials (z.B. Saphir oder Aluminiumnitrid) die Effizienz der Erzeugung atomischen Sauerstoffs und erhöht die Verarbeitungskosten, weil Fluor-kompatible Materialien typischerweise teuerer sind als Quarz.
  • Eine andere Anwendung, in der ein aktiviertes Gas nicht mit einem Plasmakammermaterial kompatibel ist, umfasst ein Plasma, das Wasserstoff enthält und sich in einer Quarzkammer befindet. Angeregte Wasserstoffatome und Moleküle können mit dem Quarz (SiO2) reagieren und Quarz in Silizium umwandeln. Veränderungen in der Materialzusammensetzung der Kammer kann z.B. zu einem unerwünschten Abdriften der Verarbeitungsparameter führen und auch zur Bildung von Partikeln. In anderen Anwendungen kann das Quarz in Si3N4 umgewandelt werden, wenn Stickstoff in der Plasmakammer während der Verarbeitung vorhanden ist.
  • Es besteht daher ein Bedürfnis, ein Gas mit einem Plasma effektiv auf eine Weise zu dissoziieren, die nachteilige Wirkungen des dissoziierten Gases für die Plasmakammer minimiert.
  • Zusammenfassung der Erfindung
  • Die Erfindung bezieht sich in einem Aspekt auf ein Verfahren zum Aktivieren und Dissoziieren von Gasen. Das Verfahren umfasst Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer. Das Verfahren umfasst auch das Positionieren eines Flussabwärtsgaseingangs relativ zu einem Ausgang der Plasmakammer, damit das aktivierte Gas die Dissoziation eines Flussabwärtsgases ermöglichen kann, das durch den Flussabwärtsgaseingang eingeführt wird, wobei das dissoziierte Flussabwärtsgas nicht wesentlich mit einer inneren Oberfläche der Plasmakammer zusammenwirkt.
  • In manchen Ausführungsformen kann das Plasma durch eine entfernte Plasmaquelle erzeugt werden. Die entfernte Plasmaquelle kann z.B. ein HF-Plasmagenerator sein, ein Mikrowellenplasmagenerator oder ein Gleichstromplasmagenerator. Das Plasma kann z.B. aus Sauerstoff, Stickstoff, Helium oder Argon erzeugt werden. Das Flussabwärtsgas kann auch ein Halogengas enthalten (z.B. NF3, CF4, CHF3, C2F6, C2HF5, C3F8, C4F8, XeF2, Cl2 oder ClF3). Das Flussab wärtsgas kann Fluor enthalten. Eine innere Oberfläche der Kammer kann z.B. ein Quarzmaterial, Saphirmaterial, Aluminiumoxid, Aluminiumnitrid, Yttriumoxid, Siliziumkarbid, Bornitrid oder ein Metall wie etwa Aluminium, Nickel oder rostfreien Stahl enthalten. Eine innere Oberfläche der Kammer kann z.B. ein beschichtetes Metall (z.B. anodisiertes Aluminium) enthalten. In manchen Ausführungsformen können alternative Gase als das Flussabwärtsgas verwendet werden, z.B. H2, O2, N2, Ar, H2O und Ammoniak. In manchen Ausführungsformen enthält das Flussabwärtsgas ein oder mehrere Gase, die metallische Materialien oder Halbleitermaterialien enthalten, die z.B. auf einem Substrat abzuscheiden sind. Die metallischen oder Halbleitermaterialien können z.B. Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr oder Al enthalten. In manchen Ausführungsformen kann das Flussabwärtsgas ein oder mehrere Gase enthalten, die metallische oder Halbleitermaterialien enthalten, oder Oxide oder Nitride, die das metallische oder Halbleitermaterial enthalten. In manchen Ausführungsformen enthält das Flussabwärtsgas Kohlenwasserstoffmaterialien.
  • Das Flussabwärtsgas kann in die Kammer an verschiedenen Stellen eingeführt werden. In manchen Ausführungsformen kann das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingeführt werden, die die Wechselwirkung zwischen dem dissoziiertem Flussabwärtsgas und der inneren Oberfläche der Kammer minimiert. Das Flussabwärtsgas kann an einer Stelle relativ zum Ausgang der Kammer eingeführt werden, die den Grad maximiert, zu dem das Flussabwärtsgas dissoziiert wird. Das Flussabwärtsgas kann an einer Stelle relativ zum Ausgang der Kammer eingeführt werden, die den Grad, zu dem das dissoziierte Flussabwärtsgas mit der inneren Oberfläche der Kammer in Wechselwirkung tritt, mit dem Grad, zu dem das Flussabwärtsgas dissoziiert wird, abwägt. Das dissoziierte Flussabwärtsgas kann verwendet werden, um Ätzen oder Reinigen eines Substrats oder Abscheiden auf ein Substrat zu ermöglichen.
  • Um mitzuhelfen, die Oberfläche der Plasmakammer zu schützen, kann einer Barriere (z.B. ein Schild oder ein Mantel) in der Nähe des Ausgangs der Plasma kammer und des Flussabwärtsgaseingangs errichtet werden. Die Barriere kann aus einem Material gemacht sein, das mit den reaktiven Gasen chemisch kompatibel ist. In manchen Ausführungsformen ist die Barriere entfernbar, was eine periodische Auswechslung ermöglicht. Die Barriere kann aus einem Material gemacht sein, das im Wesentlichen gegen reaktive Gase widerstandsfähig ist. Die Barriere kann z.B. ein Saphirmaterial sein oder enthalten, das am Ausgang der Plasmakammer angebracht ist. Die Barriere kann teilweise innerhalb der Plasmakammer angebracht sein.
  • In manchen Ausführungsformen kann die Barriere ein keramisches Material (z.B. Saphir, Quarz, Aluminiumoxid, Aluminiumnitrid, Yttriumoxid, Siliziumkarbid oder Bornitrid) sein oder umfassen. Die Barriere kann auch aus einem Material gemacht sein, das einen geringen Oberflächenrekombinationsfaktor oder -reaktionsfaktor mit den dissoziierten Flussabwärtsgasen hat, so dass die Transporteffizienz der dissoziierten Gase zum Substrat verbessert werden kann. Materialien mit geringen Rekombinationseigenschaften enthalten z.B. Quarz, Diamant, Diamantähnlichen Kohlenstoff, Kohlenwasserstoff und Fluorkohlenstoff. Die Barriere kann aus einem Metall gemacht sein, wie etwa Aluminium, Nickel oder rostfreiem Stahl. Die Art des Metalls kann auf der Grundlage der gewünschten mechanischen und thermischen Eigenschaften des Metalls ausgewählt werden.
  • Die Oberfläche der Barriere (z.B. Schild oder Mantel) kann mit einer Schicht aus chemisch-kompatiblen Materialien oder Materialen mit geringer Oberflächenrekombination/-reaktion beschichtet sein. Die Barriere kann auch mit einem Material gemacht werden, das mit dem dissoziierten Flussabwärtsgas reagiert. Z.B. kann in manchen Anwendungen eine Barriere, die langsam verbraucht wird, tatsächlich erwünscht sein, da dies die Entwicklung von Verunreinigung oder Partikeln verhindern kann. Die Barriere kann sich teilweise innerhalb der Plasmakammer befinden. Um nachteilige Wechselwirkung zwischen dem dissoziiertem Flussabwärtsgas und der Plasmakammer zu verringern, kann ein zusätzliches Reini gungsgas zwischen dem Ausgang der Plasmakammer und dem Flussabwärtsgas-Einblaseingang eingebracht werden.
  • Das Verfahren kann auch das Spezifizieren einer Eigenschaft (z.B. des Drucks, der Flussgeschwindigkeit und/oder der Entfernung vom Ausgang der Kammer, mit der eingeblasen wird) des Flussabwärtsgases umfassen, um die Dissoziation des Flussabwärtsgases zu optimieren. Das Verfahren kann auch das Spezifizieren einer Eigenschaft (z.B. des Drucks, der Flussgeschwindigkeit, des Gastyps, der Gaszusammensetzung und/oder der Leistung an das Plasma) des Plasmagases umfassen, um die Dissoziation des Flussabwärtsgases zu optimieren.
  • In einem anderen Aspekt bezieht sich die Erfindung auf ein Verfahren zum Aktivieren und Dissoziieren von Gasen, das das Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer umfasst. Das Verfahren umfasst auch Einführen eines Flussabwärtsgases in das aktivierte Gas außerhalb der Kammer an einer Stelle, die ausreichend nahe am Ausgang der Kammer liegt, so dass das aktivierte Gas ein Energieniveau hat, das ausreicht, um die Anregung (z.B. Dissoziation) des Stromabwärtsgases zu ermöglichen. Die Stelle ist ausreichend weit vom Ausgang der Kammer entfernt, so dass das angeregte Flussabwärtsgas nicht wesentlich mit einer inneren Oberfläche der Kammer in Wechselwirkung tritt.
  • In einem weiteren Aspekt bezieht sich die Erfindung auf ein Verfahren zum Ätzen eines Fotolacks. Das Verfahren umfasst das Erzeugen eines aktivierten Gases mit einem Plasma, das sich in einer Kammer befindet. Das Verfahren umfasst auch Kombinieren eines Flussabwärtsgases mit zumindest einen Teil des aktivierten Gases, so dass das aktivierte Gas ein Energieniveau umfasst, das ausreicht, um das Anregen (z.B. Dissoziieren) des Flussabwärtsgases zu ermöglichen, und so dass das angeregte Flussabwärtsgas nicht wesentlich mit einer inneren Oberfläche der Kammer in Wechselwirkung tritt. Das Verfahren umfasst auch Ätzen eines Substrats mit dem dissoziierten Flussabwärtsgas. Das Verfahren kann auch Reinigen einer Oberfläche mit dem dissoziierten Flussabwärtsgas umfassen. Das Ver fahren kann auch verwendet werden, um Materialien auf ein Substrat abzuscheiden. Das Verfahren kann auch verwendet werden um Pulver herzustellen.
  • In einem anderen Aspekt bezieht sich die Erfindung auf ein Verfahren zum Aktivieren und Dissoziieren von Gasen. Das Verfahren umfasst Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer. Das Verfahren umfasst auch Einbringen eines Stromabwärtsgases, um mit dem aktivierten Gas außerhalb eines Gebiets in Wechselwirkung zu treten, das durch das Plasma definiert wird, damit das aktivierte Gas Anregung (z.B. Dissoziation) des Flussabwärtsgases ermöglichen kann, wobei das angeregte Gas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  • Die Erfindung weist in einer Ausführungsform ein System zum Aktivieren und Dissoziieren von Gasen auf. Das System enthält eine Plasmaquelle zum Erzeugen eines Plasmas in einer Kammer, wobei das Plasma ein aktiviertes Gas erzeugt. Das System enthält auch Mittel zum Kombinieren mindestens eines Teils des aktivierten Gases mit einem Flussabwärtsgas, damit das aktivierte Gas Anregung (z.B. Dissoziation) des Flussabwärtsgases ermöglichen kann, wobei das angeregte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt. In manchen Ausführungsformen ermöglichen Wechselwirkungen zwischen dem aktivierten Gas und dem Flussabwärtsgas Ionisierung des Flussabwärtsgases. Der Energieübergang von z.B. dem aktivierten Gas an das Flussabwärtsgas erhöht die chemische Reaktivität des Flussabwärtsgases.
  • Die Erfindung bezieht sich in einem weiteren Aspekt auf eine Vorrichtung und einem Verfahren zum Dissoziieren halogenhaltiger Gase (z.B. NF3, CHF3 und CF4) mit einem plasmaaktivierten Gas an einer Stelle unterhalb der Plasmakammer ohne wesentliche Wechselwirkung (z.B. Erosion) der Halogengase mit den Wänden der Plasmakammer.
  • Die Erfindung weist in einer weiteren Ausführungsform ein System zum Aktivieren und Dissoziieren von Gasen auf. Das System enthält eine entfernte Plasmaquelle zum Generieren einer Plasmaregion in einer Kammer, wobei das Plasma ein aktiviertes Gas erzeugt. Das System enthält auch eine Einbringungsquelle zum Einbringen eines Flussabwärtsgases, um mit dem aktivierten Gas außerhalb der Plasmaregion in Wechselwirkung zu treten, wobei das aktivierte Gas Anregung (z.B. Dissoziation) des Flussabwärtsgases ermöglicht, und worin das angeregte Flussabwärtsgas dissoziiertes Flussabwärtsgas ist und nicht wesentlich mit einer inneren Oberfläche der Kammer in Wechselwirkung tritt.
  • Das System kann eine Barriere enthalten, die sich an einem Ausgang der Kammer befindet, um Erosion der Kammer zu verringern. Die Barriere kann sich z.B. teilweise innerhalb der Kammer befinden. Die Barriere kann sich z.B. teilweise innerhalb eines Ausgangdurchlasses der Kammer befinden. Das System kann eine Barriere beinhalten, die sich innerhalb eines Ausgangsdurchlasses der Kammer befindet. Das System kann einen Mischer enthalten, um Flussabwärtsgas und aktiviertes Gas zu mischen. Der Mischer kann einen statischen Flussmischer, einen spiralförmigen Mischer, Flügel oder einen Mischer mit übereinander angeordneten Zylindern umfassen. Das System kann einen Reinigungsgaseingang umfassen. Der Reinigungsgaseingang kann sich zwischen einem Ausgang der Kammer und einem Eingang der Einblasquelle befinden.
  • Die Kammer kann ein Quarzmaterial enthalten. In manchen Ausführungsformen ist die Kammer ein einziges Teil aus geschmolzenem Quarz. In manchen Ausführungsformen ist die Kammer ringförmig gebildet. In manchen Ausführungsformen ist die Plasmaquelle eine ringförmige Plasmaquelle.
  • Die Erfindung bezieht sich in einem anderen Aspekt auf ein Verfahren zum Abscheiden eines Materials auf einem Substrat. Das Verfahren umfasst Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer. Das Verfahren umfasst auch Positionieren eines Flussabwärtsgaseingangs relativ zu einem Ausgang der Plasmakammer, so dass das aktivierte Gas Dissoziation eines Flussabwärtsgases ermöglichen kann, das durch den Flussabwärtsgaseingang eingebracht wird, wobei das Flussabwärtsgas ein Material enthält, das abzuscheiden ist, und wobei das dissoziierte Flussabwärtsgas nicht wesentlich mit einer inneren Oberfläche der Plasmakammer in Wechselwirkung tritt.
  • In manchen Ausführungsformen wird das Plasma von einer entfernten Plasmaquelle erzeugt. Die entfernte Plasmaquelle kann z.B. ein Hochfrequenzplasmagenerator, ein Mikrowellenplasmagenerator oder ein Gleichstromplasmagenerator sein. Das Flussabwärtsgas kann in die Kammer an verschiedenen Stellen eingebracht werden. In manchen Ausführungsformen kann das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht werden, die die Wechselwirkung zwischen dem dissoziiertem Flussabwärtsgas und der inneren Oberfläche der Kammer minimiert. Das Flussabwärtsgas kann an einer Stelle relativ zum Ausgang der Kammer eingebracht werden, die den Grad, zu dem das Flussabwärtsgas dissoziiert wird maximiert. Das Flussabwärtsgas kann an einer Stelle relativ zum Ausgang der Kammer eingebracht werden, die den Grad, zu dem das dissoziierte Flussabwärtsgas mit der inneren Oberfläche der Kammer in Wechselwirkung tritt mit dem Grad, zu dem das Flussabwärtsgas dissoziiert wird abwägt. Das abzuscheidende Material kann Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr und/oder Al enthalten.
  • Die Erfindung weist in einem weiteren Aspekt ein System zum Abscheiden eines Materials auf ein Substrat auf. Das System enthält eine entfernte Plasmaquelle zum Erzeugen einer Plasmaregion in einer Kammer, wobei das Plasma ein aktiviertes Gas erzeugt. Das System umfasst auch eine Einblasquelle zum Einbringen eines Stromabwärtsgases, das ein Abscheidungsmaterial enthält, um mit dem aktivierten Gas außerhalb der Plasmaregion in Wechselwirkung zu treten, wobei das aktivierte Gas Anregung (z.B. Dissoziation) des Flussabwärtsgases ermöglicht, und wobei das angeregte Flussabwärtsgas nicht wesentlich mit einer inneren Oberfläche der Kammer in Wechselwirkung tritt.
  • Das abzuscheidende Material kann Si, Ge, Ga, In, As, Sb, Ta, W, Mo, Ti, Hf, Zr, Cu, Sr und/oder Al sein. Das System kam einen Mischer umfassen, um Flussabwärtsgas und aktiviertes Gas zu mischen. Der Mischer kann einen statischen Flussmischer, einen spiralförmigen Mischer, Flügel oder einen Mischer mit aufeinandergeschichteten Zylindern umfassen. Das System kann einen Reinigungsgaseingang umfassen. Der Reinigungsgaseingang kann sich zwischen einem Ausgang der Kammer und einem Eingang der Einblasquelle befinden.
  • Die obigen und weitere Gegenstände, Aspekte, Merkmale und Vorteile der Erfindung werden offensichtlicher werden aus der folgenden Beschreibung und aus den Ansprüchen.
  • Kurze Beschreibung der Zeichnungen
  • Die obigen und weitere Gegenstände, Merkmale und Vorteile der Erfindung, sowie die Erfindung selber, werden vollständiger verstanden werden aus der folgenden illustrativen Beschreibung, wenn sie zusammen mit den angehängten Zeichnungen gelesen wird, die nicht notwendigerweise Maßstabsgetreu sind.
  • 1 ist eine schematische Teilansicht einer Plasmaquelle zum Herstellen dissoziierter Gase, die die Erfindung ausführt.
  • 2A ist eine Querschnittsansicht einer Gaseinblasquelle gemäß einer illustrativen Ausführungsform der Erfindung.
  • 2B ist eine Endansicht der Gaseinblasquelle von 2A.
  • 3A ist eine Querschnittsansicht der Gaseinblasquelle gemäß einer illustrativen Ausführungsform der Erfindung.
  • 3B ist eine Endansicht der Gaseinblasquelle von 3A.
  • 4 ist eine graphische Darstellung von prozentualer Dissoziation von NF3 in Abhängigkeit von der Entfernung vom Ausgang einer Quarzplasmakammer, bei der NF3 in die Plasmaquelle eingeblasen wird, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 5 ist eine graphische Darstellung prozentualer Dissoziation von CF4 in Abhängigkeit von der Entfernung vom Ausgang einer Quarzplasmakammer, bei der CF4 in die Plasmaquelle eingeblasen wird, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 6 ist eine graphische Darstellung prozentualer Dissoziation von NF3 in Abhängigkeit der Plasmagasflussgeschwindigkeit, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 7 ist eine graphische Darstellung prozentualer Dissoziation von NF3 in Abhängigkeit vom Plasmagasdruck, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 8 ist eine graphische Darstellung prozentualer Dissoziation von NF3 in Abhängigkeit einer Flussabwärts-NF3-flussgeschwindigkeit, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 9 ist eine graphische Darstellung prozentualer Dissoziation von CF4 in Abhängigkeit der Plasmagasflussgeschwindigkeit, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 10 ist eine graphische Darstellung prozentualer Dissoziation von CF4 in Abhängigkeit vom Plasmagasdruck, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 11A ist eine graphische Darstellung prozentualer Dissoziation von CHF3 in Abhängigkeit von der Plasmagasflussgeschwindigkeit, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 11B ist eine graphische Darstellung prozentualer Dissoziation von CHF3 in Abhängigkeit von der Flussabwärts-CHF3-flussgeschwindigkeit, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 12 ist eine schematische Teilansicht einer Plasmaquelle zum Herstellen dissoziierter Gase, die die Erfindung ausführt.
  • 13 ist eine graphische Darstellung prozentualer Dissoziation von NF3 in Abhängigkeit von der Entfernung vom Ausgang einer Quarzplasmakammer, bei der NF3 in die Plasmaquelle eingeblasen wird, unter Verwendung eines Gasdissoziationssystems gemäß der Erfindung.
  • 14 ist eine Querschnittsansicht eines Teils einer Gaseinblasquelle gemäß einer illustrativen Ausführungsform der Erfindung.
  • Detaillierte Beschreibung illustrativer Ausführungsformen
  • 1 ist eine schematische Teildarstellung eines Gasdissoziationssystems 100 zum Erzeugen dissoziierter Gase, das die Erfindung ausführt. Plasmen werden oft verwendet, um Gase zu aktivieren, indem sie sie in einem angeregten Zustand versetzten, sodass die Gase eine erhöhte Reaktivität haben. Anregung eines Gases bringt das Erhöhen des Energiestatus des Gases mit sich. In manchen Fällen werden Gase angeregt, um dissoziierte Gase zu erzeugen, die Ionen, freie Radikale, Atome und Moleküle enthalten. Das System 100 umfasst eine Plasmagasquelle 112, die über eine Gasleitung 116 mit einer Plasmakammer 108 verbunden ist. Ein Ventil 120 steuert den Plasmagasfluss (z.B. O2, N2, Ar, NF3, H2 und He) von der Plasmagasquelle 112 durch die Gasleitung 116 und in die Plasmakammer 108. Das Ventil 120 kann z.B. ein Magnetventil, ein proportionales Magnetventil oder eine Mengendurchflusssteuerung sein. Ein Plasmagenerator 184 erzeugt eine Plasmaregion 132 innerhalb der Plasmakammer 108. Das Plasma 132 umfasst plasmaaktiviertes Gas 134, von dem ein Teil in die Kammer 108 hinaus fließt. Das plasmaaktivierte Gas 134 wird als ein Ergebnis des Erhitzens des Plasmas 132 und Aktivierens des Plasmagases hergestellt. In dieser Ausführungsform befindet sich der Plasmagenerator 184 teilweise um die Plasmakammer 108 herum. Das System 100 umfasst auch eine Stromversorgung 124, die über die Verbindung 128 dem Plasmagenerator 184 Strom liefert, um das Plasma 132 (das das aktivierte Gas 134 umfasst) in der Plasmakammer 108 zu erzeugen. Die Plasmakammer 108 kann z.B. aus einem metallischen Material wie etwa Aluminium oder einem feuerfestem Metall gebildet sein, oder sie kann aus einem dielektrischen Material wie etwa Quarz oder Saphir gebildet sein. In manchen Ausführungsformen wird ein anderes Gas als das Plasmagas verwendet, um das aktivierte Gas zu erzeugen. In manchen Ausführungsformen wird das Plasmagas verwendet, um sowohl das Plasma zu erzeugen, als auch das aktivierte Gas zu erzeugen.
  • Die Plasmakammer 108 hat einen Ausgang 172, der über einen Durchgang 168 mit einem Eingang 176 einer Reaktionskammer 156 verbunden ist. Zumindest ein Teil des aktivierten Gases 134 fließt aus dem Ausgang 172 der Plasmakammer 108 und durch den Durchgang 168. Die Energiemenge, die in dem aktivierten Gas 134 befördert wird, nimmt mit der Entfernung entlang der Länge des Durchgangs 168 ab. Eine Einblasquelle 104 (z.B. eine Gaseinblasquelle) befindet sich bei einem Abstand 148 entlang der Länge des Durchgangs 168. Die Einblasquelle 104 kann auch innerhalb des unteren Teils der Plasmakammer 108 liegen. Die Gasein blasquelle 104 hat mindestens einen Gaseinlass 180, der Gas (z.B. ein Flussabwärtsgas, das durch das aktivierte Gas 134 zu dissoziieren ist) in eine Region 164 des Durchgangs 168 einbringt. Eine Flussabwärtsgasquelle 136 bringt das Flussabwärtsgas (z.B. NF3, CF4, CHF3, C2F6, C2HF5, C3F8, C4F8, XeF2, Cl2, ClF3, H2 oder NH3) durch eine Gasleitung 140 und durch den Gaseinlass 180 in die Region 164 des Durchgangs 168 ein. Ein Ventil 144 steuert den Fluss des Flussabwärtsgases durch die Gasleitung 140. Das Flussabwärtsgas kann Abscheidungszwischenstoffe umfassen, die z.B. Si, Ge, Ga, In, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr oder Zr enthalten. Das Ventil 144 kann z.B. ein Magnetventil, ein proportionales Magnetventil oder eine Mengendurchflusssteuerung sein.
  • Flussabwärtsgas, das in den Bereich 164 des Durchgangs 168 bei der Entfernung 148 eingebracht wird, tritt zumindest mit einem Teil des aktivierten Gases 134 in Wechselwirkung, wodurch ein Fluss an dissoziiertem Flussabwärtsgas 152 erzeugt wird. Der Ausdruck „Flussabwärtsgas", der hier verwendet wird, bezieht sich auf Gas, das in dem Durchgang 168 durch den Gaseinlass 180 eingebracht wird. Der Ausdruck „dissoziiertes Flussabwärtsgas", der hier verwendet wird, bezieht sich auf das Gas, das als ein Ergebnis der Wechselwirkung des aktivierten Gases 134 mit dem Flussabwärtsgas erzeugt wird. Das dissoziierte Flussabwärtsgas 152 kann z.B. eine Mischung aus aktiviertem Gas 134, Flussabwärtsgas und Flussabwärtsgas, das durch das aktivierte Gas 134 angeregt (z.B. dissoziiert) wurde, enthalten. In manchen Ausführungsformen enthält das dissoziierte Flussabwärtsgas 152 im wesentlichen Gas, das durch das aktivierte Gas 134 dissoziiert wurde. In anderen Ausführungsformen enthält das dissoziierte Flussabwärtsgas 152 z.B. im Wesentlichen aktiviertes Gas 134.
  • Das dissoziierte Flussabwärtsgas 152 fließt durch den Durchgang 168 und in den Eingang 176 der Reaktionskammer 156. Ein Probenhalter 160, der in der Reaktionskammer 156 positioniert ist, hält ein Material, das durch das dissoziierte Flussabwärtsgas 152 bearbeitet wird. Ein optionaler Gasverteiler oder Brausekopf (nicht gezeigt) kann am Eingang 176 der Kammer 156 angebracht werden, um das dissoziierte Gas gleichmäßig auf die Oberfläche z.B. eines Substrats zu verteilen, das sich am Halter 160 befindet. In einer Ausführungsform ermöglicht das dissoziierte Flussabwärtsgas 152 Ätzen eines Halbleiterwavers oder eines Substrats, das am Probenhalter 160 in der Reaktionskammer 156 angebracht ist. In einer anderen Ausführungsform ermöglicht das dissoziierte Flussabwärtsgas 152 Abscheidung eines dünnen Films auf ein Substrat, das sich auf einem Probenhalter 160 in der Reaktionskammer 156 befindet. Das aktivierte Gas 134 hat genügend Energie, um mit dem Flussabwärtsgas in Wechselwirkung zu treten, um das dissoziierte Flussabwärtsgas 152 zu erzeugen.
  • In manchen Ausführungsformen wird ein Prozentsatz des Flussabwärtsgases, das in die Region 164 des Durchgangs 168 eingebracht wird, durch das aktivierte Gas 134 dissoziiert. Der Grad (z.B. Prozentsatz), zu dem das Flussabwärtsgas dissoziiert wird hängt z.B. vom Energieniveau sowie von der Energiemenge ab, die im aktivierten Gas 134 transportiert wird. Das aktivierte Gas 134 kann ein Energieniveau haben, das größer als das Bindungsenergieniveau des Flussabwärtsgases ist, um die Bindung zwischen Atomen des Flussabwärtsgases zu brechen, um Dissoziation zu erreichen. In manchen Ausführungsformen kann das aktivierte Gas 134 auch ausreichend Energie führen, um das Flussabwärtsgas durch mehrfache Kollisionsprozesse thermisch anzuregen und zu dissoziieren. Z.B. hat CF4 ein Bindungsenergieniveau von etwa 5,7 eV und NF3 hat ein Bindungsenergieniveau von etwa 3,6 eV. Dementsprechend sind unter ähnlichen Betriebsbedingungen des Dissoziationssystems 100 höhere Energien des aktivierten Gases 134 erforderlich, um CF4 zu dissoziieren, als erforderlich sind, um NF3 zu dissoziieren.
  • Weil die Menge an Energie, die im aktivierten Gas 134 enthalten ist, mit dem Abstand vom Ausgang 172 der Kammer 108 entlang des Durchgangs 168 abnimmt, muss in einer anderen Ausführungsform der Abstand 148 ausreichend klein sein, um den Gaseinlass 180 relativ zum Ausgang 172 der Plasmakammer 108 so zu positionieren, dass das aktivierte Gas 134 effektiv Anregung (z.B. Dissoziation) des Flussabwärtsgases ermöglichen kann, das in den Durchgang 168 von der Flussabwärtsgasquelle 104 eingebracht wird. Die Entfernung 148 muss auch ausreichend groß sein, um den Gaseinlass 180 relativ zum Ausgang 172 der Plasmakammer 108 so zu positionieren, dass das dissoziierte Stromabwärtsgas 152 nicht wesentlich mit einer inneren Oberfläche der Plasmaquelle 108 in Wechselwirkung tritt. In manchen Ausführungsformen kann sich die Einblasquelle 104 innerhalb des unteren Teils der Plasmaquelle 108 befinden, z.B. wenn die Plasmadichte im oberen Teil der Plasmakammer 108 konzentriert wird.
  • In einer Ausführungsform umfasst das System 100 eine Barriere (z.B. einen Schild oder einen Mantel, nicht gezeigt), die sich innerhalb der Durchgang 168 am Ausgang 172 der Kammer 108 befindet. Die Barriere schützt den Durchgang 168, indem sie das Ausgesetzt-sein des Durchgangs 168 den reaktiven Gasen im System 100 verringert. In manchen Ausführungsformen befindet sich der Schild oder der Mantel teilweise innerhalb der Kammer 108. Der Schild oder der Mantel können aus einem Material gemacht sein, das im Wesentlichen gegenüber den reaktiven Gasen widerstandsfähig ist (z.B. dem aktivierten Gas 134 und dem dissoziiertem Flussabwärtsgas 152). Weil der Schild oder der Mantel den reaktiven Gasen ausgesetzt ist, kann auf diese Weise der Schild oder der Mantel verwendet werden, um Erosion der Kammer 108 zu verringern.
  • In einer Ausführungsform ist der Mantel ein rohrförmiges Material, das sich innerhalb des Durchgangs 168 am Ausgang 172 der Kammer 108 befindet. Der Mantel kann aus einem Material gemacht sein, das chemisch mit den reaktiven Gasen kompatibel ist. Der Mantel kann vollständig oder teilweise aus Saphirmaterial gemacht sein. In manchen Ausführungsformen ist der Schild oder Mantel abnehmbar, was periodischen Austausch ermöglicht. Der Schild oder Mantel kann daher aus demselben Material wie die Plasmakammer gemacht sein, für chemische Konsistenz.
  • In manchen Ausführungsformen verringert der Schild oder Mantel thermische Belastungen auf den Komponenten in der Kammer 108. Der Schild oder Mantel kann aus einem Material gemacht sein, das den Verlust an reaktiven Sorten im aktivierten Gas 134 und dem dissoziierten Stromabwärtsgas 152 verringert, wobei die Ausgabe reaktiver Sorten maximiert wird. Materialien mit geringen Rekombinationseigenschaften umfassen z.B. Quarz, Diamant, Diamant-ähnlichen Kohlenstoff Saphir, Kohlenwasserstoff und Fluorkohlenstoff. Der Schild oder Mantel kann auch aus einem Metall (z.B. Aluminium, Nickel oder rostfreiem Stahl) gemacht werden, für bessere mechanische und thermische Eigenschaften. Die Oberfläche eines Metallschilds oder Mantels kann mit einer Schicht eines chemisch kompatiblen Materials oder einem Material mit geringer Oberflächenrekombination/-reaktion beschichtet sein, um die Gesamtleistung zu verbessern.
  • In einer Ausführungsform umfasst das System 100 einen zusätzlichen Reinigungsgaseingang (nicht gezeigt) zwischen dem Ausgang 172 der Plasmakammer 108 und dem Gaseinlass 180. Reinigungsgas kann durch den Gaseinlass 180 geströmt werden, um zu verhindern (oder zu minimieren) dass das Flussabwärtsgas in die Plasmakammer 108 zurückfließt. Der Rückfluss kann auftreten, wenn die Flussgeschwindigkeit des Plasmagases klein ist. Das Reinigungsgas kann ein Edelgas (z.B. Ar oder He) oder Prozessgas (z.B. O2 oder H2) sein.
  • In einer Ausführungsform umfasst das System 100 einen Sensor (nicht gezeigt) zum Messen der prozentualen Dissoziation des Flussabwärtsgases im Durchgang 168. In bestimmten Ausführungsformen wird derselbe Sensor verwendet, um den Grad zu bestimmen, zu dem das dissoziierte Flussabwärtsgas 152 mit der inneren Oberfläche der Plasmakammer 108 schädlich in Wechselwirkung tritt. Ein beispielhafter Sensor zum Messen sowohl der prozentualen Dissoziation als auch des Grads, zu dem das dissoziierte Flussabwärtsgas 152 mit der inneren Oberfläche der Kammer 108 reagiert, ist ein Nicolet-510P Metrology Tool, das von Thermo Electron Corporation aus Madison, Wisconsin verkauft wird. Der Sensor misst z.B. das Vorhandensein von SiF4. SiF4 ist ein Nebenprodukt von Fluor (ein dissoziiertes Stromabwärtsgas), das mit einer Quarzplasmakammer reagiert. Der Sensor ist nicht notwendig, aber er kann im System 100 verwendet werden. Dement sprechend sind Sensormessungen, die z.B. das Vorhandensein hoher Niveaus an SiF4 anzeigen ein Hinweis darauf, dass das dissoziierte Flussabwärtsgas 152 schädlich mit der inneren Oberfläche der Quarzplasmakammer 108 in Wechselwirkung tritt. Prozentuale Dissoziation des Flussabwärtsgases hängt von einer Vielzahl von Faktoren ab. Ein Faktor ist die Entfernung 148, bei der das Flussabwärtsgas in die Region 164 des Durchgangs 168 eingebracht wird. Ein anderer Faktor ist die Menge an Energie im aktivierten Gas 134 bei der Entfernung 148, bei der das Flussabwärtsgas in die Region 164 des Durchgangs 168 eingebracht wird.
  • In einer Ausführungsform wird das Flussabwärtsgas bei einer Entfernung 148 relativ zum Ausgang 172 der Plasmakammer 108 eingebracht, die die Wechselwirkung zwischen dem dissoziiertem Gas 152 und der inneren Oberfläche der Plasmakammer 108 minimiert. In einer anderen Ausführungsform wird das Flussabwärtsgas bei einer Entfernung 148 relativ zum Ausgang 172 der Plasmakammer 108 eingebracht, die den Grad, zu dem das Flussabwärtsgas dissoziiert wird maximiert. In einer weiteren Ausführungsform wird das Flussabwärtsgas bei einer Entfernung 148 relativ zum Ausgang 172 der Plasmakammer 108 eingebracht, die den Grad, zu dem das dissoziierte Flussabwärtsgas 152 mit der inneren Oberfläche der Plasmakammer 108 in Wechselwirkung tritt, mit dem Grad, zu dem das Flussabwärtsgas dissoziiert wird, abwägt.
  • Die Plasmaquelle 184 kann z.B. ein Gleichstromplasmagenerator, ein Hochfrequenz(HF)plasmagenerator oder ein Mikrowellenplasmagenerator sein. Die Plasmaquelle 184 kann eine entfernte Plasmaquelle sein. Die Plasmaquelle 184 kann z.B. eine ASTRON® oder eine R*evolution® entfernte Plasmaquelle sein, die von MKS Instruments, Inc. aus Wilmington, MA hergestellt wird. Gleichstromplasmageneratoren erzeugen Gleichstromentladungen durch Anlegen eines Potenzials zwischen zwei Elektroden in einem Plasmagas (z.B. O2). HF-Plasmageneratoren stellen HF-Entladungen her, entweder durch elektrostatisches oder induktives Koppeln von Energie von einer Stromversorgung in ein Plasma.
  • Mikrowellenplasmageneratoren stellen Mikrowellenentladungen her, durch direktes Koppeln von Mikrowellenenergie durch ein mikrowellendurchlässiges Fenster in eine Plasmakammer, die ein Plasmagas enthält.
  • In einer Ausführungsform ist die Plasmaquelle eine ringförmige Plasmaquelle und die Kammer 108 ist eine Quarzkammer. Die Quarzkammer kann z.B. ein einziges Teil aus geschmolzenem Quarz sein. In anderen Ausführungsformen können alternative Typen von Plasmaquellen und Kammermaterialien verwendet werden. Z.B. können Saphir, Aluminiumoxid, Aluminiumnitrid, Yttriumoxid, Bornitrid oder Metall wie Aluminium, Nickel oder rostfreier Stahl verwendet werden, oder ein beschichtetes Metall wie etwa anodisiertes Aluminium.
  • Die Energieversorgung 124 kann z.B. eine HF-Energieversorgung oder eine Mikrowellenenergieversorgung sein. In manchen Ausführungsformen umfasst die Plasmakammer 108 Mittel zum Erzeugen freier Ladungen, die ein anfängliches Ionisierungsereignis liefern, das das Plasma 132 in der Plasmakammer 108 zündet. Das anfängliche Ionisierungsereignis kann ein kurzer Hochspannungsimpuls sein, der an die Plasmakammer 108 angelegt wird. Der Impuls kann eine Spannung von ungefähr 500 bis 10,000 V haben und kann ungefähr 0,1 Mikrosekunden bis 100 Millisekunden lang sein. Ein Edelgas, wie etwa Argon, kann in die Plasmakammer 108 eingeführt werden, um die Spannung zu verringern, die benötigt wird, um das Plasma 132 zu zünden. Ultraviolette Strahlung kann auch verwendet werden, um die freien Ladungen in der Plasmakammer 108 zu erzeugen, die das anfängliche Ionisierungsereignis liefern, das das Plasma 132 in der Plasmakammer 108 zündet.
  • Ein Steuersystem (nicht dargestellt) kann verwendet werden, um z.B. den Betrieb des Ventils 116 (z.B. eine Mengendurchflusssteuerung) zu steuern, um den Fluss des Plasmagases von der Plasmagasquelle 112 in die Plasmakammer 108 zu regeln. Das Steuersystem kann auch verwendet werden, um den Betrieb des Ventils 144 (z.B. eine Mengendurchflusssteuerung) zu steuern, um den Fluss des Fluss abwärtsgases von der Flussabwärtsgasquelle 136 in die Region 164 zu regulieren. Das Steuersystem kann auch verwendet werden, um die Betriebsparameter (z.B. an das Plasma 132 und nachfolgend das aktivierte Gas 134 angelegte Leistung, oder Gasflussgeschwindigkeit oder Druck) des Plasmagenerators 184 zu modifizieren.
  • In manchen Ausführungsformen wird das System 100 für Abscheiden von Material auf einem Halbleiterwaver in Erwägung gezogen, der sich auf einem Probenhalter 160 in der Reaktionskammer 156 befindet. Zum Beispiel kann das Flussabwärtsgas ein Abscheidungsmaterial (z.B. SiH4, TEOS oder WF6) enthalten. Das Flussabwärtsgas kann auch andere Abscheidungszwischenstoffe wie z.B. Si, Ge, Ga, In, Sn, As, Sb, Al, Cu, Ta, Ti, Mo, W, Hf, Sr und Zr enthalten. Das aktivierte Gas 134 tritt mit dem Abscheidungsmaterial im Flussabwärtsgas in Wechselwirkung, um eine Abscheidungssorte zu schaffen, die auf dem Waver abgeschieden werden kann, der sich auf dem Probenhalter 160 befindet. Das Ausgesetztsein eines Abscheidungszwischenstoffes, einem Plasma, kann verursachen, dass sich Zwischenstoffmoleküle in der Gasoberfläche zersetzen. Dementsprechend kann Anregung der Zwischenstoffe durch aktivierte Gase in Anwendungen vorteilhaft sein, in denen Zersetzung von Zwischenstoffen auf einer Ablagerungsoberfläche bevorzugt ist. In manchen Ausführungsformen umfasst das Flussabwärtsgas ein oder mehr Gase, die metallische oder Halbleitermaterialien umfassen, oder Oxide oder Nitride, die metallische oder Halbleitermaterialien umfassen.
  • Das System 100 kann verwendet werden, um optische Beschichtungen auf einem Substrat abzuscheiden, wie etwa ein Spiegel, ein Filter oder eine Linse. Das System 100 kann verwendet werden, um die Oberflächeneigenschaften eines Substrats zu modifizieren. Das System 100 kann verwendet werden, um eine Oberfläche biokompatibel zu machen, oder um ihre Wasserabsorptionseigenschaften zu ändern. Das System 100 kann verwendet werden, um mikroskopische oder Nanobereich-Partikel oder -pulver herzustellen.
  • Die 2A und 2B illustrieren eine Ausführungsform einer Einblasquelle 104, die die Prinzipien der Erfindung mit aufnimmt. In dieser Ausführungsform hat die Einblasquelle 104 einen scheibenförmigen Rumpf 200, der einen zentralen Bereich 164 definiert. Der Bereich 164 erstreckt sich von einem ersten Ende 208 des Rumpfs 200 zu einem zweiten Ende 212 des Rumpfs 200. Die Quelle 104 hat sechs Einlässe 180a, 180b, 180c, 180d, 180e und 180f (allgemein 180), die sich durch den Rumpf 200 der Quelle 104 erstrecken. Die Einlässe 180 erstrecken sich jeweils radial von Öffnungen in einer äußeren Oberfläche 204 des Rumpfs 200 zu Öffnungen entlang einer inneren Oberfläche 214 des Bereichs 164 des Rumpfs 200.
  • In einer Ausführungsform sind die Einlässe 180 mit einer Flussabwärtsgasquelle verbunden, z.B. der Flussabwärtsgasquelle 136 von 1. Die Flussabwärtsgasquelle 136 liefert einen Fluss von Flussabwärtsgas über die Einlässe 180 zum Bereich 164. Ein aktiviertes Gas 134 strömt in die Quelle 104 am ersten Ende 204 der Quelle 104. Mindestens ein Teil des aktivierten Gases 134 tritt mit mindestens einem Teil des Flussabwärtsgases in Wechselwirkung, um ein dissoziiertes Flussabwärtsgas 152 herzustellen. Das dissoziierte Flussabwärtsgas 152 strömt aus dem zweiten Ende 212 des Körpers 200 der Quelle 104 und z.B. entlang des Durchgangs 168 des Dissoziationssystems 100. Alternative Zahlen, Geometrien und Winkelorientierungen der Einlässe 180 werden in Betracht gezogen. Zum Beispiel können die Einlässe 180 bei einem Winkel relativ zur Mitte des Bereichs 164 des Körpers 200 der Quelle 104 orientiert sein, wenn sie von der Endansichtsausrichtung von 2B betrachtet werden.
  • In einer anderen Ausführungsform, dargestellt in den 3A und 3B, hat die Einblasquelle 104 einen scheibenförmigen Körper 200, der einen Bereich 164 definiert. Der Körper 200 hat ein erstes Ende 208 und ein zweites Ende 212. Die Quelle 104 hat sechs Einlässe 180a, 180b, 180c, 180d, 180e und 180f (allgemein 180), die sich durch den Körper 200 der Quelle 104 erstrecken. Alternative Anzahlen von Einlässen können in anderen Ausführungsformen verwendet werden.
  • Die Einlässe 180 erstrecken sich jeweils zu einem Winkel 304 von Öffnungen in einer äußeren Oberfläche 204 des Körpers 200 nach Öffnungen entlang einer inneren Oberfläche 214 des Gebiets 164 des Körpers 200. In einer Ausführungsform sind die Einlässe 180 mit einer Flussabwärtsgasquelle verbunden, z.B. mit der Flussabwärtsgasquelle 136 der 1. Die Flussabwärtsgasquelle 136 liefert einen Strom von Flussabwärtsgas über die Einlässe 180 zum Bereich 164. Das Flussabwärtsgas ist zumindest teilweise durch ein aktiviertes Gas 134 dissoziiert, das in den Bereich 164 über das erste Ende 208 des Körpers 200 strömt. Dissoziiertes Flussabwärtsgas 152 verlässt den Bereich 164 am zweiten Ende 212 des Körpers 200.
  • Zur Erläuterung wurde ein Experiment durchgeführt, um NF3 zu dissoziieren. Die Einblasquelle 104 der 2A und 2B wurde verwendet, um NF3 in den Bereich 164 des Körpers 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von etwa 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. 4 zeigt ein Diagramm 400 der NF3-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 der 1. Die Y-Achse 412 des Diagramms 400 ist eine prozentuale Dissoziation von NF3. Die X-Achse 416 des Diagramms 400 ist die Entfernung 148, bei der das NF3 (Flussabwärtsgas) in den Bereich 164 relativ zum Ausgang 172 der Quarzplasmakammer 108 eingeblasen wird.
  • 4 zeigt, dass bei festen Flussgeschwindigkeiten von Plasmagas (O2/N2) und Flussabwärtsgas (NF3) die prozentuale Dissoziation von NF3 mit Gasdruck zunimmt und mit der Entfernung vom Ausgang der Plasmakammer abnimmt. Wenn die Entfernung 148 zunimmt, nimmt die prozentuale Dissoziation von NF3 für ein spezifiziertes Plasmagasdruckniveau ab (2 Torr; 3 Torr; 4 Torr; 5 Torr (Kurve 408); 6 Torr (Kurve 404); 7 Torr). Zur Erläuterung zeigt die Kurve 404, dass für O2/N2 Plasmagasflussgeschwindigkeit von 4/0,4 slm in die Plasmakammer 108 bei einem Plasmagasdruck von 6 Torr die prozentuale Dissoziation von NF3 von etwa 92% Dissoziation von NF3 bei einer Entfernung 148 gleich oder ungefähr 1,0 cm bis ungefähr 8% Dissoziation von NF3 bei einer Entfernung 148 gleich oder ungefähr 12,2 cm abnimmt. Die Kurve 408 zeigt, dass für eine O2/N2 Plasmagasflussgeschwindigkeit von 4/0,4 slm in die Plasmakammer 108 bei einem Plasmagasdruck von 5 Torr die prozentuale Dissoziation von NF3 von etwa 77% Dissoziation von NF3 bei einer Entfernung 148 gleich oder ungefähr 1,0 cm bis etwa 3% Dissoziation von NF3 bei einer Entfernung 148 gleich oder ungefähr 12,2 cm abnimmt.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. Der Nicolet-510P-Sensor hat eine Detektionsempfindlichkeit von 1 sccm von SiF4. In dem Experiment wurde kein SiF4 gemessen unter Verwendung des Nicolet-Sensors für die verschiedenen Plasmagasdrücke und -abstände 148, bei denen das NF3 (Flussabwärtsgas) in den Bereich 164 relativ zum Ausgang 172 der Quarzplasmakammer 108 geblasen wird.
  • Zur Erläuterung wurde ein Experiment durchgeführt, um CF4 zu dissoziieren. Die Einblasquelle 104 der 3A und 3B wurde verwendet, um CF4 in den Bereich 164 des Körpers 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von etwa 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. Ein Winkel von 30° wurde für den Winkel 304 eines jeden Einlasses 180 ausgewählt. 5 zeigt ein Diagramm 500 der CF4-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa das Gasdissoziationssystem 100 der 1. Die Y-Achse 512 des Diagramms 500 ist die prozentuale Dissoziation von CF4. Die X-Achse 516 des Diagramms 500 ist die Entfernung 148, bei der das CF4 (Flussabwärtsgas) in den Bereich 164 des Durchgangs 168 relativ zum Ausgang 172 der Quarzplasmakammer 108 eingeblasen wird.
  • 5 zeigt, dass, wenn die Entfernung 148 zunimmt, die prozentuale Dissoziation von CF4 für verschiedene Plasmagastypen, Flussgeschwindigkeiten und Drü cke abnimmt (4 slm von O2 gemischt mit 0,4 slm von N2 bei 4 Torr; 4 slm von O2 bei 4 Torr (Kurve 504); 3 slm von N2 bei 2 Torr; und 6 slm von Ar bei 6 Torr (Kurve 508)). Zur Erläuterung, die Kurve 504 zeigt, dass für einen O2 Plasmagasfluss von der Plasmagasquelle 112 bei einer Geschwindigkeit von 4 slm bei einem Druck von 4 Torr in der Plasmakammer 108 die prozentuale Dissoziation von 100 sccm von CF4 von etwa 33% Dissoziation von CF4 bei einem Abstand 148 gleich oder ungefähr 0,53 cm bis ungefähr 2% Dissoziation von CF4 bei einem Abstand 148 gleich oder ungefähr 1,05 cm abnimmt. Die Kurve 508 zeigt, dass für eine Ar-Plasmagasflussgeschwindigkeit von 6 slm in die Plasmakammer 108 bei einem Druck von 6 Torr die prozentuale Dissoziation von CF4 von ungefähr 24% Dissoziation von CF4 bei einem Abstand 148 gleich oder ungefähr 0,53 cm auf ungefähr 1% Dissoziation von CF4 bei einem Abstand 148 gleich oder ungefähr 1,05 cm abnimmt.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedene Plasmagastypen, Flussgeschwindigkeiten, Drücke und Abstände 148, mit denen das CF4 (Flussabwärtsgas) in den Bereich 164 relativ zum Ausgang 172 einer Quarzplasmakammer 108 eingeblasen wird.
  • Ein anderes Experiment wurde durchgeführt, um NF3 zu dissoziieren. Die Einblasquelle 104 der 2A und 2B wurde verwendet, um 100 sccm von NF3 in den Bereich 164 des Rumpfs 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von ungefähr 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. Das Flussabwärtsgas (NF3) wird in den Bereich 164 des Durchgangs 168 bei ungefähr 1 cm (d.h. dem Abstand 148) relativ zum Ausgang 172 der Quarzplasmakammer 108 eingebracht. 6 zeigt ein Diagramm 600 der NF3-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 1. Die Y-Achse 612 des Dia gramms 600 ist eine prozentuale Dissoziation von NF3. Die X-Achse 616 des Diagramms 600 ist die Gasflussgeschwindigkeit in Standard Litern pro Minute des Plasmagases (N2 (Kurve 604); O2/N2 bei einer Gasflussgeschwindigkeit von 10/1 (Kurve 608); Ar (Kurve 610); H2; und He), das in die Kammer 108 durch die Plasmagasquelle 112 eingebracht wird.
  • Zur Erläuterung, die Kurve 604 zeigt, dass für ein N2-Plasmagas die prozentuale Dissoziation von 100 sccm von NF3 von etwa 16% Dissoziation von NF3 bei einer N2-Plasmagasflussgeschwindigkeit von etwa 1,0 slm auf ungefähr 82% Dissoziation von NF3 bei einer N2-Plasmagasflussgeschwindigkeit von ungefähr 2,3 slm abnimmt. Die Kurve 608 zeigt, dass für ein O2/N2-Plasmagas die prozentuale Dissoziation von 100 sccm von NF3 von ungefähr 16% Dissoziation von NF3 bei einer O2/N2-Gasflussgeschwindigkeit von 2/0,2 slm auf ungefähr 79% Dissoziation von NF3 bei einer O2/N2-Gasflussgeschwindigkeit von ungefähr 5,5/0,55 slm zunimmt. Die Kurve 610 zeigt, dass für ein Ar-Plasmagas die prozentuale Dissoziation eines Flusses von 100 sccm von NF3 von ungefähr 14% Dissoziation von NF3 bei einer Ar-Plasmagasflussgeschwindigkeit von ungefähr 2,0 slm auf ungefähr 29% Dissoziation von NF3 bei einer Ar-Plasmagasflussgeschwindigkeit von ungefähr 10 slm zunimmt.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedene Plasmagastypen und Flussgeschwindigkeiten.
  • Ein weiteres Experiment wurde durchgeführt, um NF3 zu dissoziieren. Die Einblasquelle 104 der 2A und 2B wurde verwendet, um 100 sccm von NF3 in den Bereich 164 des Körpers 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von ungefähr 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. Das Flussabwärtsgas (NF3) wird bei ungefähr 1,0 cm (d.h. dem Abstand 148) rela tiv zum Ausgang 172 der Plasmakammer 108 eingebracht. 7 zeigt ein Diagramm 700 von NF3-Dissoziationsergebnissen, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 1. Die Y-Achse 712 des Diagramms 700 ist die prozentuale Dissoziation von NF3. Die X-Achse 716 des Diagramms 700 ist der Gasdruck in Torr des Plasmagases, das in die Plasmakammer 108 eingebracht wird. Unter den Betriebsbedingungen des Experiments ist die prozentuale Dissoziation von NF3 unter Verwendung eines Ar-Plasmagases (gezeigt als Kurve 710) relativ unempfindlich gegenüber Ar-Gasdruck.
  • Zur Erläuterung, die Kurve 704 zeigt, dass eine N2-Plasmagasflussgeschwindigkeit von einem 1 slm die prozentuale Dissoziation von 100 sccm von NF3 von ungefähr 15% Dissoziation von NF3 bei einem Plasmagasdruck von 1 Torr auf etwa 42% Dissoziation von NF3 bei einem Plasmagasdruck von 3 Torr zunimmt. Die Kurve 708 zeigt, dass für einen O2/N2-Plasmagasfluss von 4/0,4 slm die prozentuale Dissoziation von 100 sccm von NF3 von ungefähr 10% Dissoziation von NF3 bei einem Plasmagasdruck von 1 Torr auf ungefähr 90% Dissoziation von NF3 bei einem Plasmagasdruck von 6 Torr zunimmt. Die Kurve 710 zeigt, dass für einen Ar-Plasmagasfluss von 6 slm die prozentuale Dissoziation von 100 sccm von NF3 ungefähr 19% ist bei einem Plasmagasdruck von 2 Torr, 22% bei einem Plasmagasdruck von 6 Torr und ungefähr 21% bei einem Plasmagasdruck von 10 Torr.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedene Plasmagastypen, Flussgeschwindigkeiten und Drücke.
  • Ein weiteres Experiment wurde durchgeführt, um NF3 zu dissoziieren. Die Einblasquelle 104 der 2A und 2B wurde verwendet, um NF3 in den Bereich 164 des Körpers 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von ungefähr 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. Das Flussabwärtsgas (NF3) wird bei ungefähr 1 cm (d.h. dem Abstand 148) relativ zum Ausgang 172 der Plasmakammer 108 eingebracht. 8 zeigt das Diagramm 800 der NF3-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 1. Die Y-Achse 812 des Diagramms 800 ist die prozentuale Dissoziation von NF3. Die X-Achse 816 des Diagramms 800 ist die Flussabwärts-NF3-Flussgeschwindigkeit in sccm.
  • Die Kurve 804 von Diagramm 800 aus 8 zeigt, dass für ein O2/N2-Plasmagas bei einer Flussgeschwindigkeit von 4/0,4 slm und einem Druck von 5 Torr die prozentuale Dissoziation von NF3 bei ungefähr 75% bleibt, bei einer Flussgeschwindigkeit von NF3 von ungefähr 25 sccm bis zu einer Flussgeschwindigkeit NF3 von ungefähr 200 sccm. Sie zeigt, dass unter diesen Betriebsbedingungen die prozentuale Dissoziation von NF3 relativ unempfindlich gegenüber der Flussgeschwindigkeit von NF3 ist, wie durch die relativ konstante prozentuale Dissoziation von NF3 (Kurve 804) bewiesen. Die Kurve 806 des Diagramms 800 von 8 zeigt, dass für ein Ar-Plasmagas bei einer Flussgeschwindigkeit von ungefähr 6 slm und einem Druck von 6 Torr die prozentuale Dissoziation von NF3 von ungefähr 40% bei einer Flussgeschwindigkeit von NF3 von ungefähr 50 sccm bis ungefähr 15% bei einer Flussgeschwindigkeit von NF3 von ungefähr 200 sccm abnimmt.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 mit dem Nicolet-510P-Sensor gemessen, wie hier oben beschrieben. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedene Betriebsbedingungen des Gasdissoziationssystems 100.
  • Zur Erläuterung wurde ein anderes Experiment durchgeführt, um CF4 zu dissoziieren. Die Einblasquelle 104 der 3A und 3B wurde verwendet, um 100 sccm von CF4 in den Bereich 164 des Körpers 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von ungefähr 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. Ein Winkel von 30° wurde für den Winkel 304 für jeden der Einlässe 180 ausgewählt. Das Flussabwärtsgas (CF4) wird bei ungefähr 0,5 cm (d.h. der Distanz 148) relativ zum Ausgang 172 der Plasmakammer 108 eingebracht. 9 zeigt ein Diagramm 900 der CF4-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 1. Die Y-Achse 912 des Diagramms 900 ist die prozentuale Dissoziation von CF4. Die X-Achse 916 des Diagramms 900 ist die Gasflussgeschwindigkeit in Standard Litern pro Minute des Plasmagases (N2 (Kurve 904); O2/N2 (Kurve 908); O2; und Ar), das in die Kammer 108 durch die Plasmagasquelle 112 eingebracht wird.
  • 9 zeigt, dass bei 100 sccm von Flussabwärts-CF4-Fluss die prozentuale Dissoziation von CF4 zunimmt, wenn die Plasmagasflussgeschwindigkeit zunimmt. Zur Erläuterung zeigt die Kurve 904, dass für ein N2-Plasmagas die prozentuale Dissoziation eines Flusses von 100 Standard Kubikzentimetern pro Minute von CF4 von ungefähr 10% Dissoziation von CF4 bei einer N2-Plasmagasflussgeschwindigkeit von ungefähr 1,0 slm auf ungefähr 32% Dissoziation von CF4 bei einer N2-Plasmagasflussgeschwindigkeit von ungefähr 3 slm zunimmt. Die Kurve 908 zeigt, dass für ein O2/N2-Plasmagas die prozentuale Dissoziation eines Flusses von 100 sccm von CF4 von ungefähr 5% Dissoziation von CF4 bei einer O2/N2-Plasmagasflussgeschwindigkeit von ungefähr 2,0/0,2 slm auf ungefähr 46% Dissoziation von CF4 bei einer O2/N2-Plasmagasflussgeschwindigkeit von ungefähr 5,0/0,5 slm zunimmt.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsstromgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedenen Plasmagastypen und Flussgeschwindigkeiten.
  • Zur Erläuterung wurde ein weiteres Experiment durchgeführt, um CF4 zu dissoziieren. Die Einblasquelle 104 der 3A und 3B wurde verwendet, um 100 sccm von CF4 in den Bereich 164 des Rumpfs 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von ungefähr 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. Ein Winkel von 30° wurde für den Winkel 304 für jeden der Einlässe 180 ausgewählt. Das Flussabwärtsgas (CF4) wird bei ungefähr 0,5 cm (d.h. dem Abstand 148) relativ zum Ausgang 172 der Plasmagaskammer 108 eingebracht. 10 zeigt ein Diagramm 1000 von CF4-Dissoziationsergebnissen, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 1. Die Y-Achse 1012 des Diagramms 1000 ist die prozentuale Dissoziation von CF4. Die X-Achse 1016 des Diagramms 1000 ist der Gasdruck in Torr des Plasmagases (1 slm von N2; 4/0,4 slm O2/N2 (Kurve 1004); 4 slm von O2; und 6 slm von Ar (Kurve 1008)).
  • Kurve 1004 zeigt, dass für einen O2/N2-Plasmagasfluss von 4/0,4 slm die prozentuale Dissoziation eines Flusses von 100 Standardkubikzentimetern pro Minute von CF4 von ungefähr 5% Dissoziation von CF4 bei einem Plasmagasdruck von 1,0 Torr auf ungefähr 39% Dissoziation von CF4 bei einem Plasmagasdruck von 6 Torr zunimmt. Die Kurve 1008 zeigt, dass für einen Ar-Plasmagasfluss von 6 slm die prozentuale Dissoziation eines Flusses von 100 Standard Kubikzentimetern pro Minute von CF4 von ungefähr 20% Dissoziation von CF4 bei einem Plasmagasdruck von 2,0 Torr auf ungefähr 25% Dissoziation von CF4 bei einem Plasmagasdruck von 10 Torr zunimmt.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedene Plasmagastypen, Flussgeschwindigkeiten und Drücke.
  • Zur Erläuterung wurde ein weiteres Experiment durchgeführt, um CHF3 zu dissoziieren. Die Einblasquelle 104 der 3A und 3B wurde verwendet, um CHF3 in den Bereich 164 des Körpers 200 der Einblasquelle 104 einzubringen. Ein innerer Durchmesser von ungefähr 0,5 mm wurde für jeden der Einlässe 180 ausgewählt. Ein Winkel von 30° wurde für den Winkel 304 für jeden der Einlässe 180 ausgewählt. Das Flussabwärtsgas (CHF3) wird bei ungefähr 0,5 cm (d.h. dem Abstand 148) relativ zum Ausgang 172 der Plasmagaskammer 108 eingebracht.
  • 11A zeigt ein Diagramm 1100 der CHF3-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 1. Das Plasmagas ist eine O2/N2-Mischung bei einem O2 zu N2 Verhältnis von 10:1. Die Y-Achse 1112 des Diagramms 1100 ist die prozentuale Dissoziation von CHF3. Die X-Achse 1116 des Diagramms 1100 ist die Gasflussgeschwindigkeit in Standard Liter pro Minute von O2 in dem Plasmagas, das in die Kammer 108 durch die Plasmagasquelle 112 eingebracht wird. Die Kurve 1104 von 11A zeigt, dass für einen Plasmagasdruck von 1,5 Torr und einen Flussabwärts-CHF3-Fluss von 100 sccm beinahe 100% Dissoziation von CHF3 erhalten wurde, mit der Flussgeschwindigkeit von O2 in dem Plasmagas in Bereich von 1 slm bis 4 slm.
  • 11B zeigt ein Diagramm 1102 der CHF3-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 1. Die Y-Achse 1114 des Diagramms 1102 ist die prozentuale Dissoziation von CHF3. Die X-Achse 1118 des Diagramms 1102 ist die Flussgeschwindigkeit von Flussabwärts-CHF3 in sccm. Die Kurve 1108 der 11B zeigt, dass für eine Plasmagasflussgeschwindigkeit von 4 slm von O2 und 0,4 slm von N2 bei einem Druck von 1,5 Torr beinahe 100% Dissoziation von CHF3 mit der Flussabwärts-CHF3-Flussgeschwindigkeit im Bereich von 100 sccm bis 200 sccm erhalten wird.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedene Plasmagasdrücke und Entfernungen 148, bei denen das CHF3 (Flussabwärtsgas) in den Bereich 164 relativ zum Ausgang 172 der Quarzplasmakammer 108 eingeblasen wird.
  • In einer weiteren Ausführungsform, dargestellt in 12, umfasst das System 100 eine Plasmagasquelle 112, die über eine Gasleitung 116 mit der Plasmakammer 108 verbunden ist. Ein Plasmagenerator 184 erzeugt einen Plasmabereich 132 innerhalb der Plasmakammer 108. Das Plasma 132 umfasst ein plasmaaktiviertes Gas 134, von dem ein Teil aus dem Plasmabereich 132 heraus fließt. Das System 100 umfasst eine Einblasquelle 104. In dieser Ausführungsform umfasst die Einblasquelle 104 ein L-förmiges Rohr 190, das mit einem Gaseinlass der Einblasquelle 104 gekoppelt ist. Das Rohr 190 führt ein Gas (z.B. ein Flussabwärtsgas, das von einem aktivierten Gas 134 zu dissoziieren ist) in einen Bereich 192 des Systems 100 ein. Der Bereich 192 (d.h. die Stelle, an der das aktivierte Gas 134 mit dem Flussabwärtsgas in Wechselwirkung tritt) hängt davon ab, wo ein Ausgang 196 des Rohrs 190 liegt. Der Ausgang 196 des Rohrs 190 kann z.B. bei einem Abstand 194 innerhalb des Ausgangs 172 der Plasmakammer 108 liegen. Der Ausgang 196 des Rohrs 190 kann alternativ bei einem Abstand außerhalb des Ausgangs 172 der Kammer 108 liegen, wenn z.B. die Einblasquelle 104 anstelle dessen in eine Richtung weg vom Ausgang 172 und hin zur Reaktionskammer 156 verschoben wird. Auf diese Weise kann das Flussabwärtsgas in das System 100 innerhalb oder außerhalb der Plasmakammer 108 eingebracht werden.
  • Zur Erläuterung wurde ein Experiment durchgeführt, um NF3 zu dissoziieren. Die Einblasquelle 104 aus 12 wurde verwendet, um NF3 in den Bereich 192 des Systems 100 einzubringen. 13 zeigt ein Diagramm 1300 der NF3-Dissoziationsergebnisse, die mit einem Gasdissoziationssystem erhalten wurden, wie etwa dem Gasdissoziationssystem 100 aus 12. Die Y-Achse 1312 des Diagramms 1300 ist die prozentuale Dissoziation von NF3. Die X-Achse 1316 des Diagramms 1300 ist der Abstand, bei dem das NF3 (Flussabwärtsgas) in den Bereich 192 relativ zum Ausgang 172 der Quarzplasmakammer 108 eingeblasen wird. In diesem Experiment wurde während zusätzlicher Tests das NF3 mit einem Abstand 194 von ungefähr 0,5 cm innerhalb des Ausgangs 172 der Kammer 108 eingeblasen. Das NF3 wurde während eines zusätzlichen Tests auch bei einem Abstand 148 (ungefähr 1,0 cm, 3,8 cm, 6,6 cm, 9,4 cm und 12,2 cm) außerhalb des Ausgangs 172 der Kammer 108 eingeblasen.
  • 13 zeigt, dass die prozentuale Dissoziation von NF3 für verschiedene Plasmagastypen, Flussgeschwindigkeiten und Drücke abnimmt (4 Standard Liter pro Minute (slm) von O2 bei 4 Torr (Kurve 1304); 3 slm von N2 bei 2 Torr; 10 slm von Ar bei 9 Torr; 6 slm von Ar bei 6 Torr; und 4 slm von O2 gemischt mit 0,4 slm von N2 bei 4 Torr (Kurve 1308)). Zur Erläuterung zeigt die Kurve 1304, dass für einen O2-Plasmagasfluss von der Plasmagasquelle 112 mit einer Geschwindigkeit von 4 Standard Litern pro Minute (slm) bei einem Druck von 4 Torr in der Plasmakammer 108 die prozentuale Dissoziation von 100 Standard Kubikzentimetern pro Minute (sccm) von NF3 von ungefähr 90% Dissoziation von NF3 bei einem Abstand 194 gleich oder ungefähr 0,5 cm auf ungefähr 2% Dissoziation von NF3 bei einem Abstand 148 gleich oder ungefähr 12,2 cm abnimmt. Die Kurve 1308 zeigt, dass für eine O2/N2-Plasmagasflussgeschwindigkeit von 4/0,4 slm in die Plasmakammer 108 bei einem Druck von 4 Torr die prozentuale Dissoziation von NF3 von ungefähr 81% Dissoziation von NF3 bei einem Abstand 194 gleich oder ungefähr 0,5 cm auf ungefähr 0% Dissoziation von NF3 bei einem Abstand 148 gleich oder ungefähr 12,2% abnimmt.
  • In dem Experiment wurden minimale nachteilige Effekte des dissoziierten Flussabwärtsgases 152 auf die Quarzkammer 108 unter Verwendung des Nicolet-510P-Sensors gemessen, der hier oben beschrieben wurde. In dem Experiment wurde kein SiF4 gemessen, unter Verwendung des Nicolet-Sensors für verschiedene Plasmagasdrücke und Abstände 194 und 148, bei denen das NF3 (Flussabwärtsgas) in den Bereich 192 relativ zum Ausgang 172 der Quarzplasmakammer 108 eingeblasen wird.
  • 14 ist eine schematische Querschnittsansicht eines Teils eines Gasdissoziationssystems (z.B. das System 100 aus 1), das eine Einblasquelle 104 umfasst, die bei der Herstellung dissoziierter Gase verwendet wird, welches die Erfindung verwirklicht. Ein Körper 200 der Einblasquelle 104 ist mit dem Ausgang 172 der Plasmakammer 108 verbunden (nur ein Teil der Kammer 108 ist aus Gründen der Klarheit der Erläuterung dargestellt). Die Quelle 104 hat sechs Einlässe 180A, 180B, 180C, 180D, 180E und 180F (allgemein 180), die sich durch den Körper 200 der Quelle 104 erstrecken. Die Einlässe 180B, 180C, 180E und 180F sind aus Gründen der Klarheit der Darstellung nicht gezeigt. Die Einlässe 180 erstrecken sich jeweils bei einem Winkel 304 von Öffnungen in einer äußeren Oberfläche 204 des Körpers 200 zu Öffnungen entlang einer inneren Oberfläche 214 des Bereichs 164 des Körpers 200. Die Einlässe 180 sind mit einer Flussabwärtsgasquelle verbunden (z.B. der Gasquelle 136 aus 1, um einen Fluss von Flussabwärtsgas über die Einlässe 180 in den Bereich 164 zu liefern.
  • Das plasmaaktivierte Gas 134 strömt in den Bereich 164 durch den Ausgang 172 der Plasmakammer 108. Reaktionen zwischen dem Flussabwärtsgas und dem plasmaaktivierten Gas 134 treten auf, wenn die zwei Gasströme vermischt werden. Steigern der Vermischung der Gase verbessert die Dissoziation des Flussabwärtsgases. In manchen Ausführungsformen ist es vorteilhaft für den Gasmischer, nahe des Plasmakammerausgangs 172 aufzutreten. Auf diese Weise kann das Mischen einen minimalen Effekt auf das dissoziierte Gas haben, wenn es z.B. in eine Reaktionskammer strömt.
  • Verschiedene statische Flussmischer, wie etwa spiralförmige Mischer, Flügel und Mischer mit übereinander angeordneten Zylindern können verwendet werden, um das Flussabwärtsgas und das plasmaaktivierte Gas 134 zu mischen. Bezug neh mend auf die 14 ist in dieser Ausführungsform der Durchmesser 1404 des Bereichs 164 größer als der Durchmesser 1408 des Plasmakammerausgangs 172. Eine plötzliche Ausdehnung des Durchmessers des Flussdurchgangs wegen eines Übergangs im Durchmesser 1408 des Ausgangs 1408 auf Durchmesser 1404 des Bereichs 164 erzeugt Turbulenz und Gasrückfluss im Bereich 164 in der Folge des aktivierten Gasflusses 134. Das verstärkte Mischen von der Turbulenz und dem Rückfluss verbesserte die Dissoziation des Flussabwärtsgases.
  • Variationen, Modifikationen und andere Implementierungen dessen was hier beschrieben wurde, werden denjenigen mit normalen Fachkenntnissen einfallen, ohne vom Geist und dem Bereich der Erfindung, wie beansprucht, abzuweichen. Dementsprechend wird die Erfindung nicht durch die vorhergehende illustrative Beschreibung definiert, sondern anstelle dessen durch den Geist und den Bereich der folgenden Ansprüche.
  • Zusammenfassung
  • Ein Verfahren und eine Vorrichtung zum Aktivieren und Dissoziieren von Gasen umfasst Erzeugen eines aktivierten Gases mit einem Plasma, das sich in einer Kammer befindet. Ein Flussabwärtsgaseingang wird relativ zu einem Ausgang der Kammer positioniert, damit das aktivierte Gas Dissoziation eines Flussabwärtsgases ermöglichen kann, das durch den Gaseingang eingebracht wird, wobei das dissoziierte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.

Claims (49)

  1. Ein Verfahren zum Dissoziieren von Gasen, umfassend: Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer; und Positionieren eines Flussabwärtsgas-Eingangs relativ zu einem Ausgang der Kammer, damit das aktivierte Gas Dissoziation eines Flussabwärtsgases ermöglichen kann, das durch den Gaseingang eingebracht wird, wobei das dissoziierte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  2. Verfahren nach Anspruch 1, worin das Plasma durch eine entfernte Plasmaquelle erzeugt wird.
  3. Verfahren nach Anspruch 2, wobei die entfernte Plasmaquelle eine entfernte Plasmaquelle ist, die aus der Gruppe ausgewählt wird, die aus einem HF-Plasmagenerator, einem Mikrowellen-Plasmagenerator und einem Gleichstrom-Plasmagenerator besteht.
  4. Verfahren nach Anspruch 1, wobei das Plasma von einem Plasmagas erzeugt wird, das Sauerstoff, Stickstoff, Helium und/oder Argon umfasst.
  5. Verfahren nach Anspruch 1, wobei das Flussabwärtsgas ein Halogengas umfasst.
  6. Verfahren nach Anspruch 5, wobei das Flussabwärtsgas ein Halogengas umfasst, das aus der Gruppe ausgewählt wird, die aus F2, XeF2, NF3, CF4, CHF3, C2F6, C2HF5, C3F8 und C4F8 besteht.
  7. Verfahren nach Anspruch 1, wobei das Flussabwärtsgas Fluor umfasst.
  8. Verfahren nach Anspruch 1, wobei eine innere Oberfläche der Kammer ein Material umfasst, das aus der Gruppe ausgewählt wird, die aus Quarz, Aluminiumoxid, Aluminiumnitrid, Yttrium und Saphir besteht.
  9. Verfahren nach Anspruch 1, wobei das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht wird, die die Wechselwirkung zwischen dem dissoziierten Flussabwärtsgas und der inneren Oberfläche der Kammer minimiert.
  10. Verfahren nach Anspruch 1, wobei das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht wird, die den Grad maximiert, zu dem das Flussabwärtsgas dissoziiert wird.
  11. Verfahren nach Anspruch 1, worin das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht wird, die den Grad, zu dem das dissoziierte Flussabwärtsgas mit der inneren Oberfläche der Kammer in Wechselwirkung tritt, mit dem Grad, zu dem das Flussabwärtsgas dissoziiert wird, abwägt.
  12. Verfahren nach Anspruch 1, worin das dissoziierte Flussabwärtsgas verwendet wird, um Ätzen eines Substrats zu ermöglichen.
  13. Verfahren nach Anspruch 1, umfassend das Spezifizieren einer Eigenschaft des Flussabwärtsgases, um die Dissoziation des Flussabwärtsgases zu optimieren.
  14. Verfahren nach Anspruch 13, wobei die Eigenschaft Druck, Flussgeschwindigkeit und/oder Entfernung ist, womit vom Ausgang der Kammer eingeblasen wird.
  15. Verfahren nach Anspruch 4, umfassen das Spezifizieren einer Eigenschaft des Plasmagases, um die Dissoziation des Flussabwärtsgases zu optimieren.
  16. Verfahren nach Anspruch 15, wobei die Eigenschaft Druck, Flussgeschwindigkeit, Gastyp, Gaszusammensetzung und/oder Energie in das Plasma ist.
  17. Verfahren nach Anspruch 1, wobei das Flussabwärtsgas ein Material umfasst, das an einem Halbleiterwafer abgeschieden wird, der sich in einer Reaktionskammer befindet, die mit der Kammer gekoppelt ist.
  18. Verfahren zum Dissoziieren von Gasen, umfassend: Erzeugen eines aktivierten Gases aus einem Plasma in einer Kammer; und Einbringen eines Flussabwärtsgases in das aktivierte Gas außerhalb der Kammer an einer Stelle, die ausreichend nahe am Ausgang der Kammer ist, so dass das aktivierte Gas ein Energieniveau hat, das ausreicht, die Dissoziation des Flussabwärtsgases zu ermöglichen, wobei die Stelle ausreichend vom Ausgang der Kammer beabstandet ist, so dass das dissoziierte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  19. Verfahren zum Ätzen eines Fotolacks, umfassend: Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer; Kombinieren eines Flussabwärtsgases mit mindestens einem Teil des aktivierten Gases, so dass i) das aktivierte Gas ein Energieniveau umfasst, das ausreicht, um Dissoziation des Flussabwärtsgases zu ermöglichen, und ii) das dissoziierte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt; und Ätzen eines Substrats mit dem dissoziierten Flussabwärtsgas.
  20. Verfahren zum Dissoziieren von Gasen, umfassend: Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer; und Einbringen eines Flussabwärtsgases, um mit dem aktivierten Gas außerhalb eines Bereichs in Wechselwirkung zu treten, der durch das Plasma definiert wird, damit das aktivierte Gas Dissoziation des Flussabwärtsgases ermöglichen kann, wobei das dissoziierte Gas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  21. System zum Dissoziieren von Gasen, umfassend: eine Plasmaquelle zum Erzeugen eines Plasmas in einer Kammer, wobei das Plasma ein aktiviertes Gas erzeugt; und Mittel zum Kombinieren mindestens eines Teils des aktivierten Gases mit einem Flussabwärtsgas, damit das aktivierte Gas Dissoziation des Flussabwärtsgases ermöglichen kann, wobei das dissoziierte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  22. System zum Anregen von Gasen, umfassend: eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammer, wobei das Plasma ein aktiviertes Gas erzeugt; und eine Einblasquelle zum Einbringen eines Flussabwärtsgases, um mit dem aktivierten Gas außerhalb des Plasmabereichs in Wechselwirkung zu treten, wobei das aktivierte Gas Anregung des Flussabwärtsgases ermöglicht, und wobei das angeregte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  23. System gemäß Anspruch 22, wobei Anregung des Flussabwärtsgases Dissoziieren des Flussabwärtsgases umfasst.
  24. System gemäß Anspruch 22, umfassend eine Barriere, die sich an einem Ausgang der Kammer befindet, um Erosion der oder Ablagerung auf der Kammer zu verringern.
  25. System gemäß Anspruch 24, wobei sich die Barriere zumindest teilweise innerhalb der Kammer befindet.
  26. System gemäß Anspruch 24, wobei sich die Barriere zumindest teilweise innerhalb eines Ausgangsdurchgangs der Kammer befindet.
  27. System gemäß Anspruch 22, umfassend eine Barriere, die sich innerhalb eines Ausgangsdurchgangs der Kammer befindet.
  28. System gemäß Anspruch 22, wobei die Kammer Quarz umfasst.
  29. System gemäß Anspruch 28, wobei die Kammer eine ringförmige Kammer ist.
  30. System gemäß Anspruch 22, wobei die Plasmaquelle eine ringförmige Plasmaquelle ist.
  31. System gemäß Anspruch 22, umfassend einen Mischer, um Flussabwärtsgas und aktiviertes Gas zu mischen.
  32. System gemäß Anspruch 31, wobei der Mischer einen statischen Flussmischer, einen spiralförmigen Mischer, Flügel oder einen Mischer mit übereinander geschichteten Zylindern umfasst.
  33. System gemäß Anspruch 22, umfassend einen Reinigungsgaseingang.
  34. System gemäß Anspruch 33, wobei sich der Reinigungsgaseingang zwischen einem Ausgang der Kammer und einem Eingang der Einblasquelle befindet.
  35. Verfahren zum Abscheiden eines Materials auf einem Substrat, umfassend: Erzeugen eines aktivierten Gases mit einem Plasma in einer Kammer; und Positionieren eines Flussabwärtsgas-Eingangs relativ zu einem Ausgang der Kammer, damit das aktivierte Gas Dissoziation eines Flussabwärtsgases ermöglichen kann, das durch einen Gaseingang eingebracht wird, wobei das Flussabwärtsgas ein abzuscheidendes Material umfasst und wobei das dissoziierte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  36. Verfahren gemäß Anspruch 35, wobei das Plasma durch eine entfernte Plasmaquelle erzeugt wird.
  37. Verfahren gemäß Anspruch 35, wobei die entfernte Plasmaquelle eine entfernte Plasmaquelle ist, die aus der Gruppe ausgewählt wird, die aus einem HF-Plasmagenerator, einem Mikrowellen-Plasmagenerator und einem Gleichstrom-Plasmagenerator besteht.
  38. Verfahren gemäß Anspruch 35, wobei das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht wird, die die Wechselwirkung zwischen dem dissoziierten Flussabwärtsgas und der inneren Oberfläche der Kammer minimiert.
  39. Verfahren gemäß Anspruch 35, wobei das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht wird, die den Grad maximiert, zu dem das Flussabwärtsgas dissoziiert wird.
  40. Verfahren gemäß Anspruch 35, wobei das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht wird, die den Grad, zu dem das dissoziierte Flussabwärtsgas mit der inneren Oberfläche der Kammer in Wechselwirkung tritt mit dem Grad, zu dem das Flussabwärtsgas dissoziiert wird, abwägt.
  41. Verfahren gemäß Anspruch 35, wobei das abzuscheidende Material Si, Ge, Ga, In, An, Sb, Ta, W, Mo, Ti, Hf, Cr, Cu, Sr und/oder Al umfasst.
  42. Verfahren gemäß Anspruch 35, wobei das Flussabwärtsgas an einer Stelle relativ zum Ausgang der Kammer eingebracht wird, die den Grad, zu dem das dissoziierte Flussabwärtsgas mit der inneren Oberfläche der Kammer in Wechselwirkung tritt, mit dem Grad, zu dem das Flussabwärtsgas dissoziiert wird, abwägt.
  43. System zum Abscheiden eines Materials auf einem Substrat, umfassend: eine entfernte Plasmaquelle zum Erzeugen eines Plasmabereichs in einer Kammer, wobei das Plasma ein aktiviertes Gas erzeugt; und eine Einblasquelle zum Einbringen eines Flussabwärtsgases, umfassend ein Abscheidungsmaterial, um mit dem aktivierten Gas außerhalb des Plasmabereichs in Wechselwirkung zu treten, wobei das aktivierte Gas Anregung des Flussabwärtsgases ermöglicht, und wobei das angeregte Flussabwärtsgas mit einer inneren Oberfläche der Kammer nicht wesentlich in Wechselwirkung tritt.
  44. System gemäß Anspruch 43, wobei Anregung des Flussabwärtsgases Dissoziation des Flussabwärtsgases umfasst.
  45. System gemäß Anspruch 43, wobei das Abscheidungsmaterial Si, Ge, Ga, In, An, Sb, Ta, W, Mo, Ti, Hf, Cr, Cu, Sr und/oder Al umfasst.
  46. System gemäß Anspruch 43, umfassend einen Mischer, um Flussabwärtsgas und aktiviertes Gas zu mischen.
  47. System gemäß Anspruch 46, wobei der Mischer einen statischen Flussmischer, einen spiralförmigen Mischer, Flügel und einen Mischer aus übereinander liegenden Zylindern umfasst.
  48. System gemäß Anspruch 43 umfassend einen Reinigungsgaseingang.
  49. System gemäß Anspruch 48, wobei sich der Reinigungsgaseingang zwischen einem Ausgang der Kammer und einem Eingang der Einblasquelle befindet.
DE112005003045T 2004-12-03 2005-12-02 Verfahren und Vorrichtung zum flussabwärtsdissoziieren von Gasen Ceased DE112005003045T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/003,109 2004-12-03
US11/003,109 US20060118240A1 (en) 2004-12-03 2004-12-03 Methods and apparatus for downstream dissociation of gases
PCT/US2005/045426 WO2006060827A2 (en) 2004-12-03 2005-12-02 Methods and apparatus for downstream dissociation of gases

Publications (1)

Publication Number Publication Date
DE112005003045T5 true DE112005003045T5 (de) 2007-10-31

Family

ID=36263879

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112005003045T Ceased DE112005003045T5 (de) 2004-12-03 2005-12-02 Verfahren und Vorrichtung zum flussabwärtsdissoziieren von Gasen

Country Status (8)

Country Link
US (2) US20060118240A1 (de)
JP (1) JP2008523592A (de)
KR (1) KR20070085588A (de)
CN (1) CN101068614A (de)
DE (1) DE112005003045T5 (de)
GB (1) GB2435581A (de)
TW (1) TW200637646A (de)
WO (1) WO2006060827A2 (de)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
DE112006003519T5 (de) * 2005-12-23 2009-01-02 Mks Instruments Inc., Andover Verfahren und Vorrichtung für Downstream Gasdissoziation
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
KR100762714B1 (ko) * 2006-10-27 2007-10-02 피에스케이 주식회사 플라스마를 이용하여 기판을 처리하는 장치, 플라스마를공급하는 방법 및 플라스마를 공급하여 기판을 처리하는방법
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR20100072316A (ko) * 2007-10-19 2010-06-30 엠케이에스 인스트루먼츠, 인코포레이티드 높은 가스 유량 공정을 위한 환형 플라즈마 챔버
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
CN101880880B (zh) * 2009-05-06 2011-07-27 中国科学院微电子研究所 二氧化碳缓冲硅片打孔装置
US8282906B2 (en) 2009-12-23 2012-10-09 3M Innovative Properties Company Remote plasma synthesis of metal oxide nanoparticles
US8101245B1 (en) * 2010-08-12 2012-01-24 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130118589A1 (en) 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR20150050638A (ko) * 2013-10-29 2015-05-11 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9478408B2 (en) * 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10395918B2 (en) 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105390363A (zh) * 2015-10-29 2016-03-09 上海华力微电子有限公司 一种高密度等离子体机台的管路装置
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN106367736B (zh) * 2016-11-14 2018-11-30 张宇顺 远端电浆增强化学气相沉积装置
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
TWI636253B (zh) * 2017-01-05 2018-09-21 富蘭登科技股份有限公司 一種應用光譜儀來量測氣體解離狀態的量測裝置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112335342B (zh) 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113196444A (zh) * 2018-12-20 2021-07-30 应用材料公司 用于供应改良的气流至处理腔室的处理空间的方法和设备
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR102252929B1 (ko) * 2019-07-26 2021-05-17 주식회사 엠디케이 기판 처리 장치
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
FR2664294B1 (fr) * 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JP3231426B2 (ja) * 1992-10-28 2001-11-19 富士通株式会社 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置
US5523063A (en) * 1992-12-02 1996-06-04 Applied Materials, Inc. Apparatus for the turbulent mixing of gases
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5423942A (en) * 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6030506A (en) * 1997-09-16 2000-02-29 Thermo Power Corporation Preparation of independently generated highly reactive chemical species
JPH10326771A (ja) * 1997-05-23 1998-12-08 Fujitsu Ltd 水素プラズマダウンストリーム処理装置及び水素プラズマダウンストリーム処理方法
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6606802B2 (en) * 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases

Also Published As

Publication number Publication date
WO2006060827A2 (en) 2006-06-08
WO2006060827A3 (en) 2006-08-24
GB0710106D0 (en) 2007-07-04
US20060118240A1 (en) 2006-06-08
US20060137612A1 (en) 2006-06-29
TW200637646A (en) 2006-11-01
KR20070085588A (ko) 2007-08-27
GB2435581A (en) 2007-08-29
JP2008523592A (ja) 2008-07-03
CN101068614A (zh) 2007-11-07

Similar Documents

Publication Publication Date Title
DE112005003045T5 (de) Verfahren und Vorrichtung zum flussabwärtsdissoziieren von Gasen
DE112006003519T5 (de) Verfahren und Vorrichtung für Downstream Gasdissoziation
DE3802732C2 (de)
EP0478909B1 (de) Verfahren zur Herstellung einer Diamantschicht und Anlage hierfür
DE60005579T2 (de) Beschleunigte Plasmareinigung
DE4214719C2 (de) Verfahren zur Herstellung feinteiliger Metall- und Keramikpulver
DE2251571A1 (de) Verfahren und vorrichtung zum aufbringen von beschichtungen auf substraten
DE3117252A1 (de) Plasmaauftragvorrichtung
DE1621390B2 (de) Verfahren zum abscheiden isolierender duennschichten
CH693917A5 (de) Vorrichtung zum anisotropen Aetzen von Substraten.
DE212007000107U1 (de) Ringförmige Plasmakammer für Prozesse mit hohen Gasdurchflussraten
DE102011013571A1 (de) Plasmaätzverfahren und Plasmaätzvorrichtung
DE19513614C1 (de) Verfahren zur Abscheidung von Kohlenstoffschichten, Kohlenstoffschichten auf Substraten und deren Verwendung
DE19506745A1 (de) Verfahren und Vorrichtung für reaktives Heisswand-Ionenätzen unter Verwendung einer dielektrischen oder metallischen Abschirmung mit Temperatursteuerung zur Erzielung von Prozeßstabilität
DE10305602A1 (de) Verfahren und Vorrichtung zum Erzeugen eines Gasplasmas, Gaszusammensetzung zum Erzeugen von Plasma und Verfahren zum Erzeugen einer Halbleitervorrichtung, das dieses verwendet
DE112007001223T5 (de) In-situ Reinigung eines CVD-Systemablasses
EP1483780A2 (de) Vorrichtung und verfahren zum anisotropen plasmaätzen eines substrates
WO2011012185A1 (de) Reinigen einer prozesskammer
DE112009005052T9 (de) Verfahren und Vorrichtung zum Schutz von Plasmakammerflächen
DE10335099A1 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
WO2003034477A1 (en) Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
DE19522162C2 (de) Verfahren zum Entfernen von lichtempfindlichem Harz und Entfernvorrichtung für lichtempfindliches Harz
CN108885991A (zh) 对被处理物进行处理的方法
DE1521605A1 (de) Verfahren zum Herstellen von Oxidfilmen auf Unterlagen
DE4214725A1 (de) Feinteilige Nichtoxid-Keramikpulver

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection