TW201705271A - 因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法 - Google Patents

因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法 Download PDF

Info

Publication number
TW201705271A
TW201705271A TW105111309A TW105111309A TW201705271A TW 201705271 A TW201705271 A TW 201705271A TW 105111309 A TW105111309 A TW 105111309A TW 105111309 A TW105111309 A TW 105111309A TW 201705271 A TW201705271 A TW 201705271A
Authority
TW
Taiwan
Prior art keywords
substrate
processing system
plasma treatment
plasma
substrate processing
Prior art date
Application number
TW105111309A
Other languages
English (en)
Other versions
TWI751970B (zh
Inventor
豪寬 方
丁昱航
大衛 瓊
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201705271A publication Critical patent/TW201705271A/zh
Application granted granted Critical
Publication of TWI751970B publication Critical patent/TWI751970B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

用以降低基板處理系統中之銅汙染的系統與方法包含在基板處理系統之處理室中,於基板上執行電漿處理。元件位於處理室中且由包含銅之合金構成。電漿處理使用包含氫分子的處理氣體混合物。於基板上執行電漿處理之前,且在將基板設置在處理室之前,使用調節電漿處理來調節處理室中的元件,其中調節電漿處理包括含有氧分子與成形氣體的處理氣體混合物。

Description

因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法
本發明係關於基板處理系統,更具體而言係關於在具有由包含銅之合金構成的元件之基板處理室中的銅汙染之降低系統及方法。
本文提供之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
基板(例如半導體晶圓)的金屬汙染導致裝置性能降解與產率損失。國際半導體科技藍圖(ITRS)持續預告針對半導體處理的技術要求,包括關於半導體晶圓之可接受的金屬汙染程度之準則。隨著特徵部尺寸不斷微型化,可接受的金屬汙染程度亦下降。
在電漿處理(例如光阻劑剝離)期間,成形氣體(FG)常被使用作為處理氣體。成形氣體包括4%的氫分子與96%的氮分子。在電漿處理期間,成形氣體容易在具有由包含銅之合金構成的元件之基板處理室中產生過高濃度的銅。 僅作為範例, 6061 鋁合金包括95.85%到98.56% 的鋁, 0.15% 到 0.40% 的銅及其他金屬。6061 鋁合金被廣泛用於製造被暴露到電漿之處理室中的元件。若6061鋁合金位在電漿處理室中(其中成形氣體被使用作為處理氣體),鋁合金中的銅會與處理氣體發生反應而變成具揮發性,且銅可能被傳送到基板並將之汙染。
降低銅汙染的一方法涉及基板處理室的電漿調節。大致而言,該電漿調節需執行一或更多個星期,且產生不一致的結果。另一方法為使用不包含銅的材料來製造處理室的元件。此方法可能提高基板處理工具之成本,且可能增加性能偏差的風險,而產生其他問題。
用於降低基板處理系統中之銅汙染的方法包含下列步驟:在基板處理系統之處理室中,於基板上執行電漿處理。位於該處理室中的元件係由包含銅之合金構成。該電漿處理使用包含氫分子的處理氣體混合物。於該基板上執行電漿處理之前,且在將該基板設置在該處理室之前,該方法包括使用調節電漿處理來調節該處理室中的該元件,其中該調節電漿處理包括含有氧分子與成形氣體的處理氣體混合物。
在其他特徵中,該合金包括鋁。該合金包括6061鋁合金。該處理氣體混合物包括成形氣體。該成形氣體包括4%的氫分子與96%的氮分子。
在其他特徵中,該方法包含在該調節電漿處理期間,在該處理室的基板固持器上設置虛擬基板。
在其他特徵中,該基板包括半導體晶圓。該電漿處理包括光阻劑剝離處理。
在其他特徵中,與該調節電漿處理之後的銅汙染相較之下,該處理室中在該調節電漿處理之前的銅汙染被降低了10倍。
在其他特徵中,該調節電漿處理包括N次電漿處理循環,每一次均包含電漿開啟(ON)時間,且其中N為大於1的整數。
一基板處理系統包含一處理室。位於該處理室中的一元件,其由包含銅的合金構成。一電漿產生器,配置以在該處理室中產生電漿。一氣體輸送系統,配置以選擇性地輸送成形氣體、氧分子、及/或氫分子到該處理室中。一控制器,與該氣體輸送系統及該電漿產生器通訊。該控制器經配置以進行如下操作:在該處理室中的基板上使用包含氫分子的處理氣體混合物執行電漿處理之前,且在將該基板設置在該處理室之前,使用調節電漿處理來調節該處理室中的該元件,其中該調節電漿處理包括含有氧分子與成形氣體的處理氣體混合物。該控制器更經配置以在該基板上執行該電漿處理。
在其他特徵中,該合金包括鋁。該合金包括6061鋁合金。該處理氣體混合物包括成形氣體。該成形氣體包括4%的氫分子與96%的氮分子。一虛擬基板在該調節電漿處理期間被設置在該處理室的基板固持器上。該基板包括半導體晶圓。該電漿處理包括光阻劑剝離處理。
在其他特徵中,與該調節電漿處理之後的銅汙染相較之下,該處理室中在該調節電漿處理之前的銅汙染被降低了10倍。
在其他特徵中,該調節電漿處理包括N次電漿處理循環,每一次均包含電漿ON時間,且其中N為大於1的整數。
從詳細的實施方式、申請專利範圍,以及圖示,本發明的實用性的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範圍。
根據本發明的系統與方法,在使用以氫為主的電漿來進行電漿處理之前調節基板處理室,以降低因存在由包含銅之合金構成的元件所產生的銅汙染。在一些範例中,該以氫為主的電漿包括成形氣體。在數小時內即可達到一致的結果,而非習知調節處理所需要的一或更多星期。
本文中描述的系統與方法,使用包含氧分子與成形氣體(FG)的調節氣體混合物將由包含銅之合金構成的元件暴露到電漿週期。在調節處理期間,產品基板不位於處理室中。然而,可使用虛擬基板來保護基板固持器。在一些範例中,氧分子比成形氣體的比例為3:2,但可使用其他的比例。本文中描述的系統與方法可用於調節新腔室中的元件、具有新元件之舊腔室中的元件、或先前被以不同氣體化學品處理之舊腔室中的元件。
現參考圖1,基板處理系統1包括具有電漿來源3的處理室2,而電漿來源3可為直接或遠端(未圖示)型。基板處理系統1更包括氣體輸送系統4,其選擇性地輸送調節氣體、處理氣體、及/或沖洗氣體到處理室2中的氣體分配裝置5。處理室2更包括一或多個元件6,其設置在處理室2中且由包含銅的合金構成。處理室2更包括基板固持器7(例如支座、卡盤等),基板在處理期間設置在基板固持器7上。排氣系統8將反應物及蝕刻副產物從處理室2中移除。
控制器9在操作期間控制電漿來源3及氣體輸送系統4並與該者通訊。在腔室調節處理期間,控制器9經配置以在電漿循環期間供應氧分子與成形氣體的調節氣體混合物。在電漿循環期間,可將一或多個虛擬基板設置在基板固持器7上。在執行預定次數的電漿循環之後,元件6受到調節且將對產品基板產生顯著較少的銅汙染,其中產品基板在後續的電漿處理期間被使用以氫為主的電漿加以處理。在一些範例中,在調節期間所使用的持續期間、RF功率幾乎等同或相似於在基板的後續處理期間所使用的持續期間、RF功率。
雖然本文將進一步描述以遠端電漿來源來執行光阻劑剝離處理的特定基板處理系統,但可使用其他類型的電漿式處理室,其使用以氫為主的電漿且應用由包含銅之合金構成的元件。基板處理系統之其他範例包括電漿增強化學氣相沉積(PECVD)及 電漿增強原子層沉積(PEALD)處理工具。
現參考圖2及3,呈現基板處理系統10之範例。在圖2中,基板處理系統10包括處理室12與氣體分配裝置13(例如噴淋頭14)。基板固持器16可設置在處理室12中。在使用時,基板18(例如半導體晶圓或其他類型的基板)可設置在基板固持器16上。在調節期間,可使用虛擬基板來保護基板固持器16。
基板處理系統10包括用以供給處理氣體及/或沖洗氣體的氣體輸送系統20。僅作為範例,氣體輸送系統20可包括:一或多個氣體來源22-1、 22-2、 …、 及 22-N (合稱為氣體來源 22),其中 N為大於零的整數;閥 24-1、24-2、 …、及24-N (合稱為閥 24);及直流控制器 (MFC) 26-1、26-2、 …、及 26-N (合稱為MFC 26)。
氣體輸送系統20的輸出量,可在歧管30中加以混合並輸送到遠端電漿來源32,及/或直接地送到氣體分配裝置13。在一些範例中,噴淋頭14包括基部33、及連接到基部33的桿部34。基部33從桿部34逕向朝外延伸。基部33可朝向處理室12的相對的側壁35延伸。在圖3中,遠端電漿來源32可包括繞著桿部34而設置的感應線圈37。射頻(RF)或微波(MW)來源38選擇性地供應RF或MW功率到感應線圈37。在來源38及感應線圈37之間可使用匹配網路(未圖示)。
圖2中的控制器40可與一或多個感測器41連接,感測器41偵測處理室12中的操作性參數,例如腔室溫度、壓力等。可視所需設置加熱器42以加熱基板固持器16及基板18。可設置閥50及泵浦52以將氣體從處理室12中排空。可使用控制器40來控制氣體輸送系統20、加熱器42、閥50、泵浦52、及由遠端電漿來源32所產生的電漿。
現參考圖4,呈現調節處理室以降低銅汙染的方法110。該處理室包括由包含銅的合金構成的一或多個元件。在一些範例中,該元件由包含銅的鋁合金構成。在其他範例中,該鋁合金包括6061鋁合金。在操作114,選擇性地將虛擬基板裝載在基板固持器上。該虛擬基板可用以在電漿調節期間保護該基板固持器。在操作118,該方法使用包含氧分子與成形氣體的調節氣體混合物將電漿供給到腔室中、或在腔室中產生電漿。在操作126,開啟計時器。在操作130,該方法判定是否已經過預定時期。若在操作130中判定已經過預定時期,則在操作134將電漿熄滅。在使用時,可將該虛擬基板從該處理室中移除(或該虛擬基板可留在該處理室中)。在操作142,該方法判定是否執行其他循環。若在操作142中判定要執行其他循環,則該方法回到操作114。反之,該方法繼續進行到操作146,在銅汙染降低的該處理室中使用以氫為主的電漿(例如成形氣體)來執行電漿式處理。
在一些範例中,在調節期間執行預定的RF時數(RFH)。RFH對應到調節期間的電漿開啟(ON)時期的總和,且不包括電漿關閉時期(例如當將虛擬基板裝載在處理室或從處理室中卸載時)。僅作為範例,可將RFH設定在 2 RFH 到 20 RFH之範圍,但可使用其他的RFH 範圍。僅作為範例,可設定RFH等於10 ,且各循環時間可等於120秒或2分鐘。在此範例中,電漿可經循環 300 次 (600 分鐘/每一電漿 ON 循環2分鐘)。 在一些範例中,可使用卡匣或前開式晶圓傳送盒(FOUP)傳遞虛擬基板到基板處理工具。
在一些範例中,調節處理使用相似於接下來應用於基板的電漿處理的製程參數(持續時期、功率、及電漿循環次數),電漿處理使用以氫為主的電漿(例如成形氣體)。僅作為範例,調節處理的RF ON時間可為電漿處理的RF ON時間的80%到120%之間。相似地,RF ON循環次數可大約對應到待於電漿處理中使用的電漿循環次數。僅作為範例,調節電漿處理可包括N次處理循環,而電漿處理可包括M次處理循環。在一些範例中,N介於M的80%到120%之間。
在調節處理期間,銅從由包含銅的合金構成的處理室元件之外部表面釋出。銅轉變成揮發性的銅氫化物(CuH)並透過氣體流被排出。在一些範例中,該合金包括鋁合金。在一些範例中,該鋁合金包括6061鋁合金,但可使用含鋁及銅的其他鋁合金。
在一些範例中,在調節處理之後,銅汙染程度被從2到16 e10/cm2 降低到少於1 e10/cm2 ,銅汙染至少降低10倍。
在一例示性處理中,將製程溫度設定在285°C;製程壓力設定在1.5 Torr;RFH設定在10; RF功率設定在 4500 W;而RF電漿時間設定在120 秒,但可使用其他製程參數。在此範例中,以 6000 sccm供應氧分子,並以 4000 sccm供應成形氣體,但可使用其他流率。
先前敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各式各樣的形式執行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖示、說明書與下列之申請專利範圍,其他修改將變得顯而易見。如在此使用的文字「A、B和C其中至少一者」應解釋為使用非互斥邏輯符號OR的邏輯(A or B or C),而不應解釋為代表「A中至少一者、B中至少一者、及C中至少一者」。須了解在不改變本發明的原則之下,能依不同的順序(或同時) 執行一方法中一或更多的步驟。
在一些實施例中,控制器可為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。該電子設備可稱為「控制器」,其可控制一或複數之系統的各種元件或子部件。依據製程參數及/或系統之類型,可對控制器編寫程式以控制本文所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該製程參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所敘述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、徑跡腔室或模組、及與半導體晶圓之加工及/或製造有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
1‧‧‧基板處理系統
2‧‧‧處理室
3‧‧‧電漿來源
4‧‧‧氣體輸送系統
5‧‧‧氣體分配裝置
6‧‧‧元件
7‧‧‧基板固持器
8‧‧‧排氣系統
9‧‧‧控制器
10‧‧‧基板處理系統
12‧‧‧處理室
13‧‧‧氣體分配裝置
14‧‧‧噴淋頭
16‧‧‧基板固持器
18‧‧‧基板
20‧‧‧氣體輸送系統
22‧‧‧氣體來源
24‧‧‧閥
26‧‧‧MFC
30‧‧‧歧管
32‧‧‧遠端電漿來源
33‧‧‧基部
34‧‧‧桿部
35‧‧‧側壁
37‧‧‧感應線圈
38‧‧‧來源
40‧‧‧控制器
41‧‧‧感測器
42‧‧‧加熱器
50‧‧‧閥
52‧‧‧泵浦
110‧‧‧方法
114‧‧‧操作
118‧‧‧操作
126‧‧‧操作
130‧‧‧操作
134‧‧‧操作
138‧‧‧操作
142‧‧‧操作
146‧‧‧操作
從該詳細的實施方式與附圖,將會更完整地了解本發明,在其中:
圖1根據本發明,為使用成形氣體且已降低銅汙染的電漿式基板處理系統之範例的功能性方塊圖;
圖2根據本發明,為使用成形氣體且已降低銅汙染的基板處理系統之另一範例的功能性方塊圖;
圖3為遠端電漿來源之範例的功能性方塊圖;
圖4為一流程圖,圖解降低電漿處理腔室中之銅汙染的方法之步驟。
在該等圖式中,重複使用參考數字來標示相似及/或相同的元件。
110‧‧‧方法
114‧‧‧操作
118‧‧‧操作
126‧‧‧操作
130‧‧‧操作
134‧‧‧操作
138‧‧‧操作
142‧‧‧操作
146‧‧‧操作

Claims (20)

  1. 一種基板處理系統中之銅汙染的降低方法,包含下列步驟: 在一基板處理系統之一處理室中,於一基板上執行電漿處理; 其中位於該處理室中的一元件係由包含銅之合金構成; 其中該電漿處理使用包含氫分子的處理氣體混合物;並且 於該基板上執行電漿處理之前,且在將該基板設置在該處理室之前,使用調節電漿處理來調節該處理室中的該元件,其中該調節電漿處理包括含有氧分子與成形氣體的處理氣體混合物。
  2. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,其中該合金包括鋁。
  3. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,其中該合金包括6061鋁合金。
  4. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,其中該處理氣體混合物包括成形氣體。
  5. 如申請專利範圍第4項之基板處理系統中之銅汙染的降低方法,其中該成形氣體包括4%的氫分子與96%的氮分子。
  6. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,更包含在該調節電漿處理期間,在該處理室的一基板固持器上設置一虛擬基板。
  7. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,其中該基板包括半導體晶圓。
  8. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,其中該電漿處理包括光阻劑剝離處理。
  9. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,其中與該調節電漿處理之後的銅汙染相較之下,該處理室中在該調節電漿處理之前的銅汙染被降低了10倍。
  10. 如申請專利範圍第1項之基板處理系統中之銅汙染的降低方法,其中該調節電漿處理包括N次電漿處理循環,每一次均包含電漿開啟(ON)時間,且其中N為大於1的整數。
  11. 一種基板處理系統,包含: 一處理室; 一元件,其位於該處理室中且由包含銅的合金構成; 一電漿產生器,配置以在該處理室中產生電漿; 一氣體輸送系統,配置以選擇性地輸送成形氣體、氧分子、及/或氫分子到該處理室中;以及 一控制器,與該氣體輸送系統及該電漿產生器通訊,且配置以進行如下操作: 在該處理室中的基板上使用包含氫分子的處理氣體混合物執行電漿處理之前,且在將該基板設置在該處理室之前,使用調節電漿處理來調節該處理室中的該元件,其中該調節電漿處理包括含有該氧分子與該成形氣體的處理氣體混合物;並且 在該基板上執行該電漿處理。
  12. 如申請專利範圍第11項之基板處理系統,其中該合金包括鋁。
  13. 如申請專利範圍第11項之基板處理系統,其中該合金包括6061鋁合金。
  14. 如申請專利範圍第11項之基板處理系統,其中該處理氣體混合物包括成形氣體。
  15. 如申請專利範圍第14項之基板處理系統,其中該成形氣體包括4%的氫分子與96%的氮分子。
  16. 如申請專利範圍第11項之基板處理系統,其中該控制器更配置以在該調節電漿處理期間,在該處理室的一基板固持器上設置一虛擬基板。
  17. 如申請專利範圍第11項之基板處理系統,其中該基板包括半導體晶圓。
  18. 如申請專利範圍第11項之基板處理系統,其中該電漿處理包括光阻劑剝離處理。
  19. 如申請專利範圍第11項之基板處理系統,其中與該調節電漿處理之後的銅汙染相較之下,該處理室中在該調節電漿處理之前的銅汙染被降低了10倍。
  20. 如申請專利範圍第11項之基板處理系統,其中該調節電漿處理包括N次電漿處理循環,每一次均包含電漿開啟(ON)時間,且其中N為大於1的整數。
TW105111309A 2015-04-13 2016-04-12 因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法 TWI751970B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/684,870 2015-04-13
US14/684,870 US9397011B1 (en) 2015-04-13 2015-04-13 Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper

Publications (2)

Publication Number Publication Date
TW201705271A true TW201705271A (zh) 2017-02-01
TWI751970B TWI751970B (zh) 2022-01-11

Family

ID=56381725

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105111309A TWI751970B (zh) 2015-04-13 2016-04-12 因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法
TW110146278A TWI808561B (zh) 2015-04-13 2016-04-12 因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110146278A TWI808561B (zh) 2015-04-13 2016-04-12 因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法

Country Status (4)

Country Link
US (1) US9397011B1 (zh)
KR (2) KR102599987B1 (zh)
CN (1) CN106057623B (zh)
TW (2) TWI751970B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108292601A (zh) 2016-01-13 2018-07-17 应用材料公司 用于蚀刻硬件的基于氢等离子体的清洗工艺
US10460941B2 (en) * 2016-11-08 2019-10-29 Varian Semiconductor Equipment Associates, Inc. Plasma doping using a solid dopant source
US10409295B2 (en) * 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
JP7489905B2 (ja) * 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
EP1076355A2 (en) * 1999-08-12 2001-02-14 Applied Materials, Inc. Method and apparatus for cleaning a chamber configured for copper deposition
US7084070B1 (en) * 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6350689B1 (en) * 2001-04-23 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Method to remove copper contamination by using downstream oxygen and chelating agent plasma
US7695763B2 (en) * 2004-01-28 2010-04-13 Tokyo Electron Limited Method for cleaning process chamber of substrate processing apparatus, substrate processing apparatus, and method for processing substrate
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP5084508B2 (ja) * 2005-08-31 2012-11-28 東京エレクトロン株式会社 クリーニング方法
JP2008004852A (ja) * 2006-06-23 2008-01-10 Tokyo Electron Ltd 石英製品及び熱処理装置
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20100239781A1 (en) * 2007-05-29 2010-09-23 Masaki Sano Method for in-chamber preprocessing in plasma nitridation processing, plasma processing method, and plasma processing apparatus
EP2025775A1 (en) * 2007-07-05 2009-02-18 Interuniversitair Microelektronica Centrum Vzw Photon induced cleaning of a reaction chamber
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP2010050310A (ja) * 2008-08-22 2010-03-04 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US8968537B2 (en) * 2011-02-09 2015-03-03 Applied Materials, Inc. PVD sputtering target with a protected backing plate

Also Published As

Publication number Publication date
KR20230156292A (ko) 2023-11-14
KR102630920B1 (ko) 2024-01-29
CN106057623A (zh) 2016-10-26
KR102599987B1 (ko) 2023-11-07
TW202215533A (zh) 2022-04-16
TWI808561B (zh) 2023-07-11
US9397011B1 (en) 2016-07-19
TWI751970B (zh) 2022-01-11
CN106057623B (zh) 2021-02-02
KR20160122075A (ko) 2016-10-21

Similar Documents

Publication Publication Date Title
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US10176984B2 (en) Selective deposition of silicon oxide
KR20230010807A (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
KR102630920B1 (ko) 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들
TW201641738A (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
JP2016127285A (ja) 活性化を使用しない、シリコン酸化物のための異方性原子層エッチング
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
JP2018050041A (ja) ポンプ排気システムにおける廃物の蓄積を低減するためのシステムおよび方法
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
CN108630581B (zh) 衬底处理系统的前体蒸气供应系统中流监测的系统和方法
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
JP7447093B2 (ja) 準安定活性ラジカル種を使用する原子層処置プロセス
TW201632654A (zh) 具有主動冷卻的柵條之氣體分配裝置
KR20210087545A (ko) 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
CN106298499B (zh) 硼掺杂碳的硬掩膜层的选择性去除
TW201921547A (zh) 用於無電漿去鹵化的系統及方法
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法