TW201641738A - 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法 - Google Patents

用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法 Download PDF

Info

Publication number
TW201641738A
TW201641738A TW105102280A TW105102280A TW201641738A TW 201641738 A TW201641738 A TW 201641738A TW 105102280 A TW105102280 A TW 105102280A TW 105102280 A TW105102280 A TW 105102280A TW 201641738 A TW201641738 A TW 201641738A
Authority
TW
Taiwan
Prior art keywords
amorphous carbon
hard mask
mask film
precursor
processing chamber
Prior art date
Application number
TW105102280A
Other languages
English (en)
Other versions
TWI687539B (zh
Inventor
法亞茲 謝赫
瑟利西 瑞迪
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201641738A publication Critical patent/TW201641738A/zh
Application granted granted Critical
Publication of TWI687539B publication Critical patent/TWI687539B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用於沉積非晶碳硬遮罩膜的系統與方法包含在處理腔室中配置基板;將載氣供應至該處理腔室;將烴前驅物氣體供應至該處理腔室;將來自由WFa 、NFb 、 SFc 、及F2 所構成之群組的氟前驅物氣體供應至該處理腔室,其中a、b、及c為大於零的整數;進行以下其中一者:供應電漿至該處理腔室或在該處理腔室中產生電漿,其中於氣相反應中,來自氟前驅物的氟與來自烴前驅物的氫結合;及在基板上沉積非晶碳硬遮罩膜。

Description

用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
本揭露內容係關於基板處理系統及方法,更具體而言,係關於用於在基板上沉積具有減少之碳-氫含量之硬遮罩膜的基板處理系統及方法。
說明書所提供的背景敘述係為了概略地呈現本揭露內容的背景。在本「先前技術」段落中所描述的範圍內之目前所列名的發明人之成果、以及在申請時可能未以其他方式認定為先前技術的描述之態樣,並未明示或默示地被承認為是相對於本揭露內容的先前技術。
用於執行沉積及/或蝕刻的基板處理系統通常包含具有基座之處理腔室。例如半導體晶圓的基板可配置於基座上。例如在化學氣相沉積(CVD)製程中,可將包含一或更多前驅物之氣體混合物導入至處理腔室中以在基板上沉積膜或蝕刻基板。在若干基板處理系統中,可使用電漿以活化化學反應,而在本說明書中被稱為電漿輔助化學氣相沉積(PECVD)。
在半導體處理期間,可使用非晶碳膜作為硬遮罩或蝕刻停止層。由於可藉由灰化來移除非晶碳膜,因此該膜被稱為可灰化硬遮罩(AHM, ashable hardmask)膜。隨著微影中的縱橫比增加,可灰化硬遮罩需要更高的蝕刻選擇性。目前利用PECVD製程來形成高選擇性之可灰化硬遮罩的方法產生具有不同應力及蝕刻選擇性的可灰化硬遮罩。
非晶碳膜通常具有碳氫或聚合物含量。碳-氫含量促進開放硬遮罩,同時使膜對介電質蝕刻化學物較不具選擇性。在3-D記憶體的應用中,硬遮罩膜應為高蝕刻選擇性的。因此,應減少該膜之碳-氫含量而不減少碳-碳含量。此造成沉積達到下列兩者間的平衡之膜的挑戰:在硬遮罩開放製程期間可被移除、以及在介電質蝕刻製程期間為具充分選擇性的。
用於沉積非晶碳硬遮罩膜的方法在處理腔室中配置基板;將載氣供應至該處理腔室;將烴前驅物供應至該處理腔室;將來自由WFa 、NFb 、 SFc 、及F2 所構成之群組的氟前驅物供應至該處理腔室,其中a、b、及c為大於零的整數;進行以下其中一者:將電漿供應至該處理腔室或在該處理腔室中產生電漿,其中來自該氟前驅物的氟在氣相反應中與來自該碳氫前驅物的氫結合;及將非晶碳硬遮罩膜沉積於該基板上。
在其他特徵中,該處理腔室包含電漿輔助化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)處理腔室。沉積於該基板上的該非晶碳硬遮罩膜具有小於1%的氟。在該氣相反應中該氟前驅物中的氟減少氫,以相較於不含該氟前驅物的膜沉積而減少沉積於該基板上的該非晶碳硬遮罩膜之碳-氫含量。該載氣係選自由氬(Ar)、分子氮(N2 )、氦(He)、及/或其組合所構成之群組。該烴前驅物包含Cx Hy ,其中x為從2至10的整數,而y為從2至24的整數。該烴前驅物係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯所構成之群組。以自1%至10%的部分分量將該氟前驅物供應至該腔室。
在其他特徵中,該氟前驅物具有1%-30%的部分分量,該碳氫前驅物具有10%至95%的部分分量,且該載氣具有10%至89%的部分分量。
在其他特徵中,該氟前驅物具有1%-10%的部分分量,該碳氫前驅物具有10%至30%的部分分量,且該載氣具有60%至89%的部分分量。
用於沉積非晶碳硬遮罩膜的基板處理系統包含處理腔室,其包含配置以支撐基板的基板支撐體;氣體供應系統,其配置以選擇性地將製程氣體供應至該處理腔室;電漿產生器,其配置以選擇性地在該處理腔室中產生電漿或將電漿供應至該處理腔室;控制器,其配置以控制該氣體供應系統及該電漿產生器,且配置以進行下列操作:將載氣供應至該處理腔室;將烴前驅物供應至該處理腔室;將來自由WFa 、NFb 、 SFc 、及F2 所構成之群組的氟前驅物供應至該處理腔室,其中a、b、及c為大於零的整數;進行以下其中一者:將電漿供應至該處理腔室或在該處理腔室中產生電漿,其中來自該氟前驅物的氟在氣相反應中與來自該碳氫前驅物的氫結合;及將非晶碳硬遮罩膜沉積於該基板上。
在其他特徵中,該處理腔室包含電漿輔助化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)處理腔室。沉積於該基板上的該非晶碳硬遮罩膜具有小於1%的氟。在該氣相反應中該氟前驅物中的氟減少氫,以相較於不含該氟前驅物的膜沉積而減少沉積於該基板上的該非晶碳硬遮罩膜之碳-氫含量。該載氣係選自由氬(Ar)、分子氮(N2 )、氦(He)、及/或其組合所構成之群組。該烴前驅物包含Cx Hy ,其中x為從2至10的整數,而y為從2至24的整數。該烴前驅物係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯所構成之群組。該控制器係配置以將該氟前驅物以自1%至10%的部分分量供應至該腔室。
在其他特徵中,該控制器係配置成以1%-30%的部分分量輸送該氟前驅物,以10%至95%的部分分量輸送該碳氫前驅物,且以10%至89%的部分分量輸送應該載氣。
在其他特徵中,該控制器係配置成以1%-10%的部分分量輸送該氟前驅物,以10%至30%的部分分量輸送該碳氫前驅物,且以60%至89%的部分分量輸送應該載氣。
本揭露內容的可應用性之進一步範圍將從實施方式、請求項、及圖式而變得清楚明瞭。實施方式及具體範例僅意為說明之目的且並非意為限制本揭露內容之範疇。
可使用非晶碳膜作為用於蝕刻高縱橫比特徵部的硬遮罩。非晶碳膜具有碳氫含量。碳-氫含量會促進開放硬遮罩,同時使膜對介電質蝕刻化學物較不具選擇性。在3-D記憶體的應用中,硬遮罩膜應為高蝕刻選擇性的。本說明書中所述之系統及方法在不減少碳-碳含量的情況下減少膜的碳-氫含量(緻密化)。
本說明書中所述之系統及方法減少非晶碳膜的碳-氫含量以使膜緻密化,且使膜對介電質蝕刻化學物更具選擇性。在若干實施例中,在PECVD沉積期間,氣體化學物中所提供的氟原子將氫原子移除。因此,非晶碳膜具有增加的碳-碳含量,以及減少的碳-氫含量。
將載氣及碳氫型前驅物氣體供應至處理腔室。在若干範例中,載氣可包含氬(Ar)、分子氮(N2 )、氦(He)、及/或其組合。在若干範例中,碳氫前驅物包含Cx Hy ,其中x為從2至10的整數,而y為從2至24的整數。在其他範例中,碳氫前驅物係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯所構成之群組。
本說明書中所述之系統及方法亦將鎢氟化物(WFa )、氮氟化物(NFb )、硫氟化物(SFc )、或分子氟(F2 )供應至處理腔室,其中a、b、或c為大於零的整數。在若干範例中,以範圍為1%-30%的部分分量來供應WFa 、NFb 、 SFc 、或F2 。在處理腔室中引燃電漿(或供應至處理腔室),且沉積具有減少的碳-氫含量之非晶碳膜。
當使用Cx Fy 來沉積氟化的碳時,C-F的鍵結能量係相當高的,如此一來PECVD或遠端電漿CVD(RPCVD)不會使C-F鍵斷裂,且將C-F沉積在膜中。相較之下,可使用WFa 、NFb 、 SFc 、或F2 ,以在氣相反應中使氟被碳氫前驅物中的氫所消耗。此可以足夠的電漿及使用較低部分分量的WFa 、NFb 、 SFc 、或F2 來加以完成。因此,所沉積的非晶碳膜具有增加的碳-碳含量,以及減少的碳-氫含量。
現參照圖1,顯示用於執行PECVD沉積或蝕刻之基板處理系統100的範例。儘管前述範例係關於PECVD系統,然而可使用其他電漿式的製程。僅以舉例而言,可使用脈衝電漿、原子層沉積(ALD, atomic layer deposition)、及/或遠端輔助電漿化學氣相沉積(CVD, chemical vapor deposition)。基板處理系統100包含處理腔室102,其包圍基板處理系統100的其他構件並容納射頻(RF)電漿。基板處理系統100包含上電極104及包含下電極107的基座106。基板108係配置於基座106上,介於上電極104與下電極107之間。
僅以舉例而言,上電極104可包含氣體分配裝置105。在若干範例中,氣體分配裝置105包含導入及分配製程氣體的噴淋頭109。或者,上電極104可包含導板,而製程氣體可以另一方式導入。下電極107係可配置於非傳導性的基座中。或者,基座106可包含靜電卡盤,其包含作為下電極107之導板。
在若干範例中,使用直接電漿。在此範例中,RF產生系統110產生並輸出RF電壓至上電極與下電極其中一者。上電極與下電極其中另一者可為直流(DC)接地、交流(AC)接地、或浮動。舉例而言,RF產生系統110可包含產生RF電壓之RF電壓產生器111,該RF電壓係藉由匹配與分配網路112而供至上電極104或下電極107。另外,可自遠端電漿源113輸送電漿。
圖1中顯示氣體輸送系統130之範例。氣體輸送系統130包含一或更多氣體源132-1、132-2、…、及132-N(統稱為氣體源132),其中N為大於零的整數。該氣體源供應一或更多前驅物及其混合物。亦可使用汽化之前驅物。氣體源132藉由閥134-1、134-2、…,及134-N(統稱為閥134),與質量流量控制器136-1、136-2、…,及136-N(統稱為質量流量控制器136),連接至岐管140。岐管140之輸出物則供至處理腔室102。僅以舉例而言,岐管140之輸出物係供至噴淋頭109。
可將加熱器142連接至配置於基座106中的加熱器線圈(未顯示)以加熱基座106。可使用加熱器142以控制基座106及基板108的溫度。可使用閥150及泵浦152以自處理腔室102將反應物抽空。可使用控制器160以控制基板處理系統100的構件。僅以舉例而言,可使用控制器160來控制製程氣體、載氣、及前驅物氣體的流動、製程參數(例如溫度、壓力、功率等)的監測、引燃及熄滅電漿、移除反應物等。
現參照圖2,顯示依據本揭露內容之用於沉積具有減少的碳-氫含量之非晶碳膜的方法200。在204,將基板放置於例如PECVD處理腔室之處理腔室中。在208,將載氣供應至該處理腔室。在若干範例中,載氣包含氬(Ar)、分子氮(N2 )、氦(He)、及/或其組合。
在216,將碳氫前驅物供應至該處理腔室中。在若干範例中,碳氫前驅物包含Cx Hy ,其中x為從2至10的整數,而y為從2至24的整數。在其他範例中,碳氫前驅物係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯所構成之群組。
在220,以對該處理腔室之預定部分分量將WFa 、NFb 、 SFc 、或F2 供應至該處理腔室中。
在222,在該處理腔室中引燃電漿。在若干範例中,自WFa 、NFb 、 SFc 、或F2 所提供的氟化物在氣相反應中會被碳氫前驅物中的氫所消耗。因此,該膜具有增加的碳-碳含量,以及減少的碳-氫含量。
在224,將具有減少的碳-氫含量之非晶碳膜沉積於基板上。
所造成之非晶碳膜中不存在或幾乎不存在氟化物含量(例如<1%)。在若干範例中,氟化物含量實質上為零,且無法量測。在基板處理期間,可將具有減少的碳-氫含量之該非晶碳膜作為硬遮罩來使用。
前文提出依據本揭露內容之具有減少的碳-氫含量之非晶碳硬遮罩膜的製程參數之範例範圍。可將處理腔室溫度設定至自400°C至650°C的溫度範圍,而可將壓力設定為0.2 Torr至9 Torr。以1%-30%的部分分量供應氟前驅物WFa 、NFb 、 SFc 、或F2 。以10%至95%的部分分量供應碳氫前驅物。碳氫前驅物可包含CH4 。以10%至89%的部分分量供應載氣。可將高頻功率設定至自100 W至6000 W的範圍。可將低頻功率設定至自100 W至3000 W的範圍。
在其他範例中,以10%至30%的部分分量來提供碳氫前驅物的部分分量。碳氫前驅物可包含CH4 。以自60%至89%的部分分量供應載氣,且載氣可包含He/Ar/N2 。以自1%至10%的部分分量供應氟前驅物。氟前驅物可包含WFa
現參照圖3,顯示各種非晶碳膜的相對碳-氫含量。發現習知CH4 型非晶碳膜有最高的碳-氫含量。CH4 /H2 型非晶碳膜具有較低的碳-氫含量。氟化物/CH4 /H2 型非晶碳膜具有略微較低的碳-氫含量。在此組範例中,氟化物/CH4 型非晶碳膜具有最低的碳-氫含量。
前文的敘述實質上僅為說明性,且無限制本揭露內容、其應用、或用途之意圖。可以各種形式來實施本揭露內容之主要教示。因此,儘管本揭露內容包含特定的範例,由於根據圖式、說明書、及下列請求項的研究,其他修改將變得清楚明瞭,故本揭露內容的真實範疇不應受到如此限制。如本說明書中所使用,用語「A、B、及C其中至少一者」應解釋為意指使用非排除性邏輯上的OR之邏輯上的(A or B or C),且不應解釋為意指「A中之至少一者、B中之至少一者、及C中之至少一者」。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多步驟。
在若干實施例中,控制器係為系統的部分,其可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
100‧‧‧基板處理系統
102‧‧‧處理腔室
104‧‧‧上電極
105‧‧‧氣體分配裝置
106‧‧‧基座
107‧‧‧下電極
108‧‧‧基板
109‧‧‧噴淋頭
110‧‧‧射頻產生系統
111‧‧‧射頻電壓產生器
112‧‧‧匹配與分配網路
102‧‧‧遠端電漿源
130‧‧‧氣體輸送系統
132‧‧‧氣體源
134‧‧‧閥
136‧‧‧質量流量控制器
140‧‧‧歧管
142‧‧‧加熱器
150‧‧‧閥
152‧‧‧泵浦
160‧‧‧控制器
200‧‧‧方法
204‧‧‧步驟
208‧‧‧步驟
216‧‧‧步驟
220‧‧‧步驟
222‧‧‧步驟
224‧‧‧步驟
從實施方式及隨附圖式將更充分理解本揭露內容,其中:
圖1依據本揭露內容,係為繪示用於沉積非晶碳硬遮罩膜的基板處理腔室之範例的功能性方塊圖;
圖2依據本揭露內容,係為繪示用於沉積非晶碳硬遮罩膜的方法之範例的流程圖;及
圖3係為繪示在依據先前技術及本發明而製造之膜中的碳-氫抑制之各種範例的圖。
在該等圖式中,可重複使用參考符號以識別相似及/或相同的元件。
200‧‧‧方法
204‧‧‧步驟
208‧‧‧步驟
216‧‧‧步驟
220‧‧‧步驟
222‧‧‧步驟
224‧‧‧步驟

Claims (20)

  1. 一種用於沉積非晶碳硬遮罩膜的方法,包含下列步驟: 在處理腔室中配置基板; 將載氣供應至該處理腔室; 將碳氫前驅物供應至該處理腔室; 將來自由WFa 、NFb 、 SFc 、及F2 所構成之群組的氟前驅物供應至該處理腔室,其中a、b、及c為大於零的整數; 進行以下其中一者:將電漿供應至該處理腔室或在該處理腔室中產生電漿, 其中來自該氟前驅物的氟在氣相反應中與來自該碳氫前驅物的氫結合;及 將非晶碳硬遮罩膜沉積於該基板上。
  2. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中該處理腔室包含電漿輔助化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)處理腔室。
  3. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中沉積於該基板上的該非晶碳硬遮罩膜具有小於1%的氟。
  4. 如申請專利範圍第3項之用於沉積非晶碳硬遮罩膜的方法,其中在該氣相反應中該氟前驅物中的氟減少氫,以相較於不含該氟前驅物的膜沉積而減少沉積於該基板上的該非晶碳硬遮罩膜之碳-氫含量。
  5. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中該載氣係選自由氬(Ar)、分子氮(N2 )、氦(He)、及/或其組合所構成之群組。
  6. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中該碳氫前驅物包含Cx Hy ,其中x為從2至10的整數,而y為從2至24的整數。
  7. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中該碳氫前驅物係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯所構成之群組。
  8. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中以自1%至10%的部分分量將該氟前驅物供應至該處理腔室。
  9. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中以1%-30%的部分分量供應該氟前驅物,以10%至95%的部分分量供應該碳氫前驅物,且以10%至89%的部分分量供應該載氣。
  10. 如申請專利範圍第1項之用於沉積非晶碳硬遮罩膜的方法,其中以1%-10%的部分分量供應該氟前驅物,以10%至30%的部分分量供應該碳氫前驅物,且以60%至89%的部分分量供應該載氣。
  11. 一種用於沉積非晶碳硬遮罩膜的基板處理系統,包含: 處理腔室,其包含配置以支撐基板的基板支撐體; 氣體供應系統,其配置以選擇性地將製程氣體供應至該處理腔室; 電漿產生器,其配置以選擇性地在該處理腔室中供應電漿; 控制器,其配置以控制該氣體供應系統及該電漿產生器,且配置以進行下列操作: 將載氣供應至該處理腔室; 將碳氫前驅物供應至該處理腔室; 將來自由WFa 、NFb 、SFc 、及F2 所構成之群組的氟前驅物供應至該處理腔室,其中a、b、及c為大於零的整數; 進行以下其中一者:將電漿供應至該處理腔室或在該處理腔室中產生電漿,其中來自該氟前驅物的氟在氣相反應中與來自該碳氫前驅物的氫結合;及 將非晶碳硬遮罩膜沉積於該基板上。
  12. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中該處理腔室包含電漿輔助化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)處理腔室。
  13. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中沉積於該基板上的該非晶碳硬遮罩膜具有小於1%的氟。
  14. 如申請專利範圍第13項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中在該氣相反應中該氟前驅物中的氟減少氫,以相較於不含該氟前驅物的膜沉積而減少沉積於該基板上的該非晶碳硬遮罩膜之碳-氫含量。
  15. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中該載氣係選自由氬(Ar)、分子氮(N2 )、氦(He)、及/或其組合所構成之群組。
  16. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中該碳氫前驅物包含Cx Hy ,其中x為從2至10的整數,而y為從2至24的整數。
  17. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中該碳氫前驅物係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯所構成之群組。
  18. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中該控制器係配置以將該氟前驅物以自1%至10%的部分分量供應至該處理腔室。
  19. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中該控制器係配置成以1%-30%的部分分量供應該氟前驅物,以10%至95%的部分分量供應該碳氫前驅物,且以10%至89%的部分分量供應該載氣。
  20. 如申請專利範圍第11項之用於沉積非晶碳硬遮罩膜的基板處理系統,其中該控制器係配置成以1%-10%的部分分量供應該氟前驅物,以10%至30%的部分分量供應該碳氫前驅物,且以60%至89%的部分分量供應該載氣。
TW105102280A 2015-02-03 2016-01-26 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法 TWI687539B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/612,814 2015-02-03
US14/612,814 US9928994B2 (en) 2015-02-03 2015-02-03 Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films

Publications (2)

Publication Number Publication Date
TW201641738A true TW201641738A (zh) 2016-12-01
TWI687539B TWI687539B (zh) 2020-03-11

Family

ID=56553314

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105102280A TWI687539B (zh) 2015-02-03 2016-01-26 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法

Country Status (5)

Country Link
US (1) US9928994B2 (zh)
JP (1) JP6749764B2 (zh)
KR (1) KR102478222B1 (zh)
CN (1) CN106024596B (zh)
TW (1) TWI687539B (zh)

Families Citing this family (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN114830299A (zh) * 2019-11-12 2022-07-29 应用材料公司 减少氢沉积工艺
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH071546B2 (ja) * 1986-05-28 1995-01-11 日立マクセル株式会社 磁気記録媒体の製造方法
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US20010051228A1 (en) * 1996-08-29 2001-12-13 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6582823B1 (en) * 1999-04-30 2003-06-24 North Carolina State University Wear-resistant polymeric articles and methods of making the same
ES2256110T3 (es) * 2000-05-09 2006-07-16 Kabushiki Kaisha Riken Pelicula de carbono amorfo que contiene oxido.
US6424038B1 (en) * 2001-03-19 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Low dielectric constant microelectronic conductor structure with enhanced adhesion and attenuated electrical leakage
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7084071B1 (en) 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP5009527B2 (ja) * 2003-08-15 2012-08-22 東京エレクトロン株式会社 半導体装置、半導体装置の製造方法及びプラズマcvd用ガス
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
CN1930320A (zh) * 2004-03-05 2007-03-14 应用材料公司 用于无定型碳膜的化学气相沉积的液体前驱体
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8466044B2 (en) * 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
KR101357181B1 (ko) * 2008-10-14 2014-01-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
WO2010120805A2 (en) * 2009-04-13 2010-10-21 Applied Materials, Inc. Modification of magnetic properties of films using ion and neutral beam implantation
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
CN102376644A (zh) * 2010-08-19 2012-03-14 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
CN103526177B (zh) * 2013-09-30 2015-12-23 上海华力微电子有限公司 一种用于非晶碳沉积工艺中的清洗方法
US9406746B2 (en) * 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks

Also Published As

Publication number Publication date
CN106024596A (zh) 2016-10-12
KR102478222B1 (ko) 2022-12-15
KR20160095633A (ko) 2016-08-11
US20160225588A1 (en) 2016-08-04
JP6749764B2 (ja) 2020-09-02
JP2016164304A (ja) 2016-09-08
TWI687539B (zh) 2020-03-11
CN106024596B (zh) 2020-10-16
US9928994B2 (en) 2018-03-27

Similar Documents

Publication Publication Date Title
KR102478222B1 (ko) 비정질 탄소 하드마스크 막들의 탄소-수소 함량을 감소시키기 위한 시스템들 및 방법들
TWI718120B (zh) 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜
JP7254042B2 (ja) 基板処理システムのためのdcバイアス回路及びガス供給システム
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
TWI717336B (zh) 硬遮罩用金屬介電膜之沉積
TW201708597A (zh) 使用碳基膜之間隙填充
JP7296378B2 (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
CN110537241B (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
JP2022527460A (ja) 高エッチング選択性かつ低応力のアッシャブルカーボンハードマスク
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
TW201709282A (zh) 作為金屬擴散阻隔層之非晶碳的高選擇性沉積
US20220235464A1 (en) Selective carbon deposition
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
CN112753091A (zh) 双频硅烷基二氧化硅沉积以最小化膜的不稳定性
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法