TWI717336B - 硬遮罩用金屬介電膜之沉積 - Google Patents

硬遮罩用金屬介電膜之沉積 Download PDF

Info

Publication number
TWI717336B
TWI717336B TW105106608A TW105106608A TWI717336B TW I717336 B TWI717336 B TW I717336B TW 105106608 A TW105106608 A TW 105106608A TW 105106608 A TW105106608 A TW 105106608A TW I717336 B TWI717336 B TW I717336B
Authority
TW
Taiwan
Prior art keywords
precursor gas
tungsten carbide
depositing
carbide film
patent application
Prior art date
Application number
TW105106608A
Other languages
English (en)
Other versions
TW201700762A (zh
Inventor
法亞茲 謝赫
瑟利西 瑞迪
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201700762A publication Critical patent/TW201700762A/zh
Application granted granted Critical
Publication of TWI717336B publication Critical patent/TWI717336B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用於沉積金屬介電膜的系統與方法包括:將基板設置於電漿增強化學氣相沉積(PECVD)處理腔室中;供應載氣至該PECVD處理腔室;供應介電前驅物氣體至該PECVD處理腔室;供應金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中產生電漿;並且在低於500℃的製程溫度下在基板上沉積金屬介電膜。

Description

硬遮罩用金屬介電膜之沉積
本發明係關於基板處理系統與方法,且更具體而言係關於用以在基板上沉積硬遮罩的系統與方法。
提供於此之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
用於執行沉積及/或蝕刻的基板處理系統包括具有一支座的處理腔室。可將基板(例如半導體晶圓)設置在該支座上。例如,在化學氣相沉積(CVD)處理中,可將包含一或更多前驅物的氣體混合物引導到該處理腔室中,以在該基板上沉積膜或蝕刻該基板。在一些基板處理系統中,可使用電漿來活化化學反應,而這在本文中稱為電漿增強CVD(PECVD)。
非晶碳與矽膜可在基板處理期間當作用來蝕刻高深寬比特徵部的硬遮罩。例如,在3D記憶體應用中,硬遮罩膜應具有高的蝕刻選擇性。因此,該硬遮罩膜應具有較高模數、更稠密、且更抗蝕刻化學性的鍵結基質(bonding matrices)。能夠在開口處理期間移除硬遮罩膜並且對於介電蝕刻處理具有高的選擇性,此兩者之間應取得平衡。
鎢碳化物膜為晶體,且被視為硬化鍍膜。鎢碳化物可作為良好的硬遮罩膜。然而,鎢碳化物膜通常難以移除。鎢碳化物膜通常係使用不同於PECVD的沉積方法來沉積。若使用PECVD來沉積鎢碳化物膜,則要使用非常高的製程溫度(接近800℃)。例如,請見H.Zheng等人的「Plasma Enhanced Chemical Vapor Deposition Nanocrystalline Tungsten Carbide Thin Film and Its Electro-catalytic Activity」,Journal of Material Science Technologies,2005年,第21卷,第4期,第545-548頁。在PECVD中使用的較高的製程溫度對於許多應用而言通常不適合。
在基板上沉積金屬介電膜的方法包含下列步驟:將基板設置於電漿增強化學氣相沉積(PECVD)處理腔室中;供應載氣至該PECVD處理腔室;供應介電前驅物氣體至該PECVD處理腔室;供應金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中產生電漿;並且在低於500℃的製程溫度下在基板上沉積金屬介電膜。
在其他特徵中,該金屬前驅物氣體係選自由下列所組成之群組:鈦前驅物氣體、鉭前驅物氣體、鎢前驅物氣體、及釩前驅物氣體。
在其他特徵中,該金屬前驅物氣體包含鎢前驅物氣體。該鎢前驅物氣體包含WFa,其中a為大於或等於1的整數。該鎢前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)。該載氣係選自由下列所組成之群組:氫分子(H2)、氬(Ar)、氮分子(N2)、氦(He)、及/或該者的組合。
在其他特徵中,該介電前驅物氣體包含碳氫化合物前驅物氣體。該碳氫化合物前驅物氣體包含CxHy,其中x為從2到10的整數,且y為從2到24的整數。該介電前驅物氣體包含氮化物基的前驅物氣體。該碳氫化合物前驅物氣 體係選自由下列所組成之群組:甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯。該金屬介電膜為奈米晶體。
在其他特徵中,該PECVD處理腔室包括第一電極,其設置成與支座保持間隔關係。該支座包括第二電極。來自電漿產生器的RF功率被供應到該第二電極,而該第一電極被接地。
在其他特徵中,該第一電極包括噴淋頭。該金屬前驅物氣體對該介電前驅物氣體的部分分率大於20%。
用於沉積金屬介電膜的方法,包含下列步驟:將基板設置於電漿增強化學氣相沉積(PECVD)處理腔室中;供應載氣至該PECVD處理腔室;供應介電前驅物氣體至該PECVD處理腔室;供應金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中產生電漿;並且在基板上沉積金屬介電膜。該PECVD處理腔室包括第一電極,其設置成與支座保持間隔關係。該支座包括第二電極。來自電漿產生器的RF功率被供應到該第二電極,而該第一電極被接地。
在其他特徵中,該金屬前驅物氣體係選自由下列所組成之群組:鈦前驅物氣體、鉭前驅物氣體、鎢前驅物氣體、及釩前驅物氣體。
在其他特徵中,該金屬前驅物氣體包含鎢前驅物氣體。該鎢前驅物氣體包含WFa,其中a為大於或等於1的整數。該鎢前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)。該載氣係選自由下列所組成之群組:氫分子(H2)、氬(Ar)、氮分子(N2)、氦(He)、及/或該者的組合。
在其他特徵中,該介電前驅物氣體包含碳氫化合物前驅物氣體。該碳氫化合物前驅物氣體包含CxHy,其中x為從2到10的整數,且y為從2到24的整數。該碳氫化合物前驅物氣體係選自由下列所組成之群組:甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯。
在其他特徵中,該介電前驅物氣體包含氮化物基的前驅物氣體。該金屬介電膜為奈米晶體。
在其他特徵中,該第一電極包括噴淋頭。該金屬前驅物氣體對該介電前驅物氣體的部分分率大於20%。
用於沉積金屬介電膜的基板處理系統,包含:一電漿增強化學氣相沉積(PECVD)處理腔室,其包含支座。一氣體輸送系統,其配置以選擇性地輸送下列至少一者:載氣、介電前驅物氣體、及金屬前驅物氣體。一電漿產生器,其配置以在該PECVD處理腔室中選擇性地產生電漿。一控制器,其配置以與該氣體輸送系統及該電漿產生器通訊,且進一步配置以:供應載氣、介電前驅物氣體、及金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中引發電漿;並且在低於500℃的製程溫度下在基板上沉積金屬介電膜。
用於沉積金屬介電膜的基板處理系統,包含:一電漿增強化學氣相沉積(PECVD)處理腔室,其包含支座。第一電極,其設置成與該支座保持間隔關係。該支座包括第二電極。而第一電極被接地。一氣體輸送系統,其配置以選擇性地輸送下列至少一者至該PECVD處理腔室:載氣、介電前驅物氣體、及金屬前驅物氣體。一電漿產生器,其配置以透過供應RF功率至該第二電極而在該PECVD處理腔室中選擇性地產生電漿。一控制器,其配置以與該氣體輸送系統及該電漿產生器通訊,且進一步配置以:供應載氣、介電前驅物氣體、及金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中引發電漿;並且在基板上沉積金屬介電膜。
從詳細的實施方式、申請專利範圍,以及圖式,本發明的實用性的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範疇。
100:基板處理系統
102:處理腔室
104:上電極
106:支座
107:下電極
108:基板
109:噴淋頭
110:RF產生系統
111:RF電壓產生器
112:匹配與分配網路
130:氣體輸送系統
132:氣體來源
134:閥
136:質流控制器
140:集流腔
142:加熱器
150:閥
152:泵浦
160:控制器
200:方法
204:步驟
208:步驟
216:步驟
220:步驟
222:步驟
224:步驟
從詳細的實施方式與隨附圖式,將會更完整地了解本發明,在其中:圖1為功能方塊圖,描繪用以在低於500℃的溫度下沉積金屬介電膜(例如鎢碳化物膜)的PECVD基板處理腔室之範例;圖2為流程圖,說明用以根據本發明來沉積金屬介電膜之方法的範例;以及圖3為圖表,描繪根據本發明來沉積的例示性鎢碳化物膜之強度(次數)作為2 θ(度)的函數。
在該等圖式中,重複使用參考數字來代表相似及/或相同的元件。
根據本發明的系統與方法係用於在低於500℃的製程溫度下於PECVD基板處理腔室中沉積金屬介電膜。在一些範例中,介電膜可為碳基或氮化物基的介電膜。在一些範例中,金屬可為鎢、鈦、鉭、或釩。
僅作為範例,該介電膜可為鎢碳化物。由於奈米晶體結構以及膜中稠密的碳之充足濃度,所以就介電蝕刻化學而言,鎢碳化物膜可被作為蝕刻選擇性硬遮罩膜。
在PECVD基板處理腔室中沉積金屬介電膜。在一些範例中,該金屬介電膜係使用PECVD在低於500℃的製程溫度下沉積。在一些範例中,該金屬介電膜係使用PECVD在介於400℃與500℃之間的製程溫度下沉積。這些製程溫度使金屬介電膜能使用在新的應用中。
雖然前述部分係關於鎢碳化物膜的沉積,但本發明適用於其他的金屬介電膜,例如鈦碳化物(TiC)、鉭碳化物(TaC)、鉭氮化物(TaN)、釩碳化物(VC)等,不限於此。
在一些範例中,處理氣體包括金屬前驅物氣體。在一些範例中,處理氣體包含鎢前驅物氣體,例如WFa(其中a為大於0的整數)、雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物、或其他適當的鎢前驅物。在一些範例中,鎢前驅物氣體為六氟化物(WF6)。
在一些範例中,處理氣體更包括碳氫化合物前驅物氣體,例如CxHy,其中x為從2到10的整數,且y為從2到24的整數。在一些範例中,碳氫化合物前驅物氣體可包括甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯。
在一些範例中,鎢前驅物氣體在PECVD沉積反應器中與碳氫化合物前驅物氣體及一或更多載氣混合。在一些範例中,載氣包括氫分子(H2)、氬(Ar)、氮分子(N2)、氦(He)、或該者的混合物。
所沉積的鎢碳化物膜具有奈米尺寸的晶體結構且提供足夠的蝕刻選擇性。該鎢碳化物膜亦可被輕易地移除。因此,在要求較低製程溫度限度的應用中,該鎢碳化物膜係作為硬遮罩的非常好的選擇。
在其他範例中,可使用氮化物基前驅物氣體及/或其他的金屬基前驅物氣體。
現參考圖1,顯示用以執行金屬介電膜之PECVD沉積的基板處理系統100之範例。基板處理系統100包括處理腔室102,其包圍基板處理系統100的其他元件並容納RF電漿。基板處理系統100包括上電極104以及支座106,而支 座106包括下電極107。基板108在上電極104與下電極107之間被設置在支座106上。
僅作為範例,上電極104可包括噴淋頭109,其引導並分配處理氣體。替代地,上電極104可包括傳導性板,且處理氣體可被以另一種方式來引導。下電極107可設置在非傳導性支座中。替代地,支座106可包括靜電卡盤,其包括當作下電極107的傳導性板。
RF產生系統110產生RF功率並將之輸出到上電極與下電極中的一者。上電極與下電極中的另一者可被DC接地、AC接地、或不接地。僅作為範例,RF產生系統110可包括RF電壓產生器111,其產生之RF功率由匹配與分配網路112饋送至上電極104或下電極107。在一些範例中,RF功率被輸送至下電極107,而上電極104被接地,如圖1所示。
圖1中顯示氣體輸送系統130之範例。氣體輸送系統130包括一或多個氣體來源132-1、132-2、...、及132-N(合稱為氣體來源132),其中N為大於0的整數。氣體來源供應一或多個金屬前驅物、介電前驅物、載氣、及該者的混合物。亦可使用汽化的前驅物。氣體來源132被閥134-1、134-2、...、及134-N(合稱為閥134)及質流控制器136-1、136-2、...、及136-N(合稱為質流控制器136)連接到集流腔140。集流腔140的一輸出量被饋送到處理腔室102。僅作為範例,集流腔140的該輸出量被饋送到噴淋頭109。
加熱器142可連接到設置於支座106中的加熱器線圈(未圖示),用以加熱支座106。加熱器142可用於控制支座106與基板108的溫度。可使用閥150與泵浦152將反應物從處理腔室102中排出。可使用控制器160來控制基板處理系 統100的各種元件。僅作為範例,控制器160可用以控制處理氣體、載氣和前驅物氣體的流動、電漿的引發與熄滅、反應物的移除、腔室參數的偵測等。
現參考圖2,顯示用以根據本發明來沉積金屬介電膜的方法200。在204,將基板設置在PECVD處理腔室中。在208,將載氣供應到該處理腔室。在一些範例中,該載氣可包括氫分子(H2)、氬(Ar)、氮分子(N2)、氦(He)、及/或該者的組合。
在216,將介電前驅物氣體供應到該處理腔室。在一些範例中,該介電前驅物氣體包括氮化物基前驅物或碳氫化合物前驅物氣體。在一些範例中,碳氫化合物前驅物氣體可包括CxHy,其中x為從2到10的整數,且y為從2到24的整數。在一些範例中,碳氫化合物前驅物氣體可包括甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯。
在220,將金屬前驅物氣體供應到該處理腔室。在一些範例中,金屬前驅物氣體包括鎢前驅物氣體、鈦前驅物氣體、鉭前驅物氣體、釩前驅物氣體等。在一些範例中,鎢前驅物氣體包括WFa(其中a為大於0的整數)、雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體、或其他適當的鎢前驅物氣體。在222,在該處理腔室中產生電漿。在一些實施例中,RF功率被供應到下電極,而上電極被接地。在224,在該基板上沉積金屬介電膜。該金屬介電膜可在隨後的基板處理期間被作為硬遮罩。在一些範例中,該金屬介電膜包括鎢碳化物、鉭碳化物、鉭氮化物、釩碳化物等。
現參考圖3,顯示作為2 θ(度)之函數的強度(次數)。鎢碳化物膜具有相當小的晶體結構。在一範例中,該晶體結構為1.7nm +/- 0.2nm,有著100%的結晶度。
在一些範例中,該載氣為氬、該碳氫化合物前驅物氣體為CH4、且該鎢前驅物氣體為WF6。在一些範例中,該鎢前驅物氣體對該碳氫化合物前驅物氣體的部分分率大於20%。在一些範例中,WF6對CH4的部分分率大於20%。在一些範例中,製程壓力介於3 Torr與7 Torr之間。在一些範例中,製程壓力介於4 Torr與6 Torr之間。在一些範例中,製程壓力為5 Torr。
在一些範例中,高頻(HF)功率係以13.56MHz的頻率供應,但可使用其他的頻率。
在一些範例中,低頻(LF)功率係以小於或等於800kHz的頻率供應。在其他範例中,低頻功率係以小於或等於600kHz的頻率供應。在其他範例中,低頻功率係以小於或等於500kHz的頻率供應。在另外的其他範例中,低頻功率係以小於或等於400kHz的頻率供應。
在一些範例中,高頻射頻(RF)功率大於低頻RF功率。在一些範例中,高頻RF功率小於或等於2400W。在其他範例中,高頻RF功率小於或等於2200W。在另外的其他範例中,高頻RF功率為2000W。
在一些範例中,低頻(LF)RF功率小於或等於2000W。在其他範例中,低頻RF功率小於或等於1800W。在另外的其他範例中,低頻RF功率為1600W。在一些範例中,低頻RF功率比高頻功率小約20%。
下表闡述用於沉積鎢碳化物膜的製程參數之範例:
Figure 105106608-A0305-02-0011-1
Figure 105106608-A0305-02-0012-2
當沉積氮化物基膜及/或其他金屬時可使用相似的配方。
先前敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各式各樣的形式執行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與下列之申請專利範圍,其他修改將變得顯而易見。如在此使用的語句「A、B和C其中至少一者」應解釋為使用非互斥邏輯符號OR的邏輯(A or B or C),且不應解釋為「A中至少一者、B中至少一者、和C中至少一者」。須了解在不改變本發明的原則之下,能依不同的順序(或同時)執行一方法中一或更多的步驟。
在一些實施例中,控制器為系統的一部分,其可為前述範例之一部分。此種系統包含半導體處理設備,其包括:處理工具(或複數處理工具)、腔室(或複數腔室)、用以處理的工作台(或複數工具台)、及/或特定處理元件(例如晶圓支座、氣流系統等)。這些系統可與電子設備結合,以在半導體晶圓或基板的處理之前、期間、與之後,控制系統的操作。該等電子設備可稱為「控制器」,其可控制系統(或複數系統)的各種元件或子部件。根據製程要求及/或系統的類型,可將控制器編程式,以控制本文中揭露之任何處理,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式與控制器通訊的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the“cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合 或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
200‧‧‧方法
204‧‧‧步驟
208‧‧‧步驟
216‧‧‧步驟
220‧‧‧步驟
222‧‧‧步驟
224‧‧‧步驟

Claims (26)

  1. 一種在基板上沉積鎢碳化物膜的方法,包含下列步驟:將基板設置於一電漿增強化學氣相沉積(PECVD)處理腔室中;供應一載氣至該PECVD處理腔室;供應一介電前驅物氣體至該PECVD處理腔室;供應一金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中產生電漿;並且使用PECVD,在低於500℃的製程溫度下在該基板上沉積鎢碳化物膜。
  2. 如申請專利範圍第1項之在基板上沉積鎢碳化物膜的方法,其中該金屬前驅物氣體包含鎢前驅物氣體。
  3. 如申請專利範圍第2項之在基板上沉積鎢碳化物膜的方法,其中該鎢前驅物氣體包含WFa,其中a為大於或等於1的整數。
  4. 如申請專利範圍第2項之在基板上沉積鎢碳化物膜的方法,其中該鎢前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)。
  5. 如申請專利範圍第1項之在基板上沉積鎢碳化物膜的方法,其中該載氣係選自由下列所組成之群組:氫分子(H2)、氬(Ar)、氮分子(N2)、氦(He)、及/或該者的組合。
  6. 如申請專利範圍第1項之在基板上沉積鎢碳化物膜的方法,其中該介電前驅物氣體包含碳氫化合物前驅物氣體。
  7. 如申請專利範圍第6項之在基板上沉積鎢碳化物膜的方法,其中該碳氫化合物前驅物氣體包含CxHy,其中x為從2到10的整數,且y為從2到24的整數。
  8. 如申請專利範圍第1項之在基板上沉積鎢碳化物膜的方法,其中該介電前驅物氣體包含氮化物基的前驅物氣體。
  9. 如申請專利範圍第6項之在基板上沉積鎢碳化物膜的方法,其中該碳氫化合物前驅物氣體係選自由下列所組成之群組:甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯。
  10. 如申請專利範圍第1項之在基板上沉積鎢碳化物膜的方法,其中該鎢碳化物膜為奈米晶體。
  11. 如申請專利範圍第1項之在基板上沉積鎢碳化物膜的方法,其中:該PECVD處理腔室包括第一電極,其設置成與一支座保持間隔關係;該支座包括第二電極;並且來自一電漿產生器的RF功率被供應到該第二電極,而該第一電極被接地。
  12. 如申請專利範圍第11項之在基板上沉積鎢碳化物膜的方法,其中該第一電極包括一噴淋頭。
  13. 如申請專利範圍第1項之在基板上沉積鎢碳化物膜的方法,其中該金屬前驅物氣體對該介電前驅物氣體的部分分率大於20%。
  14. 一種沉積鎢碳化物膜的方法,包含下列步驟:將基板設置於一電漿增強化學氣相沉積(PECVD)處理腔室中; 供應一載氣至該PECVD處理腔室;供應一介電前驅物氣體至該PECVD處理腔室;供應一金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中產生電漿;並且使用PECVD,在低於500℃的製程溫度下在該基板上沉積鎢碳化物膜;其中該PECVD處理腔室包括第一電極,其設置成與一支座保持間隔關係;其中該支座包括第二電極;並且其中來自一電漿產生器的RF功率被供應到該第二電極,而該第一電極被接地。
  15. 如申請專利範圍第14項之沉積鎢碳化物膜的方法,其中該金屬前驅物氣體包含鎢前驅物氣體。
  16. 如申請專利範圍第15項之沉積鎢碳化物膜的方法,其中該鎢前驅物氣體包含WFa,其中a為大於或等於1的整數。
  17. 如申請專利範圍第15項之沉積鎢碳化物膜的方法,其中該鎢前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)。
  18. 如申請專利範圍第14項之沉積鎢碳化物膜的方法,其中該載氣係選自由下列所組成之群組:氫分子(H2)、氬(Ar)、氮分子(N2)、氦(He)、及/或該者的組合。
  19. 如申請專利範圍第14項之沉積鎢碳化物膜的方法,其中該介電前驅物氣體包含碳氫化合物前驅物氣體。
  20. 如申請專利範圍第19項之沉積鎢碳化物膜的方法,其中該碳氫化合物前驅物氣體包含CxHy,其中x為從2到10的整數,且y為從2到24的整數。
  21. 如申請專利範圍第14項之沉積鎢碳化物膜的方法,其中該介電前驅物氣體包含氮化物基的前驅物氣體。
  22. 如申請專利範圍第20項之沉積鎢碳化物膜的方法,其中該碳氫化合物前驅物氣體係選自由下列所組成之群組:甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、及甲苯。
  23. 如申請專利範圍第14項之沉積鎢碳化物膜的方法,其中該鎢碳化物膜為奈米晶體。
  24. 如申請專利範圍第14項之沉積鎢碳化物膜的方法,其中該第一電極包括一噴淋頭。
  25. 如申請專利範圍第14項之沉積鎢碳化物膜的方法,其中該金屬前驅物氣體對該介電前驅物氣體的部分分率大於20%。
  26. 一種用於沉積鎢碳化物膜的基板處理系統,包含:一電漿增強化學氣相沉積(PECVD)處理腔室,其包含一支座;一氣體輸送系統,配置以選擇性地輸送下列至少一者:載氣、介電前驅物氣體、及金屬前驅物氣體;一電漿產生器,配置以在該PECVD處理腔室中選擇性地產生電漿;以及一控制器,配置以與該氣體輸送系統及該電漿產生器通訊,且進一步配置以: 供應載氣、介電前驅物氣體、及金屬前驅物氣體至該PECVD處理腔室;在該PECVD處理腔室中引發電漿;並且使用PECVD,在低於500℃的製程溫度下在基板上沉積鎢碳化物膜。
TW105106608A 2015-03-24 2016-03-04 硬遮罩用金屬介電膜之沉積 TWI717336B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/666,953 2015-03-24
US14/666,953 US9875890B2 (en) 2015-03-24 2015-03-24 Deposition of metal dielectric film for hardmasks

Publications (2)

Publication Number Publication Date
TW201700762A TW201700762A (zh) 2017-01-01
TWI717336B true TWI717336B (zh) 2021-02-01

Family

ID=56975637

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105106608A TWI717336B (zh) 2015-03-24 2016-03-04 硬遮罩用金屬介電膜之沉積

Country Status (5)

Country Link
US (1) US9875890B2 (zh)
JP (1) JP6934705B2 (zh)
KR (1) KR102500931B1 (zh)
CN (2) CN106024605A (zh)
TW (1) TWI717336B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
WO2018144198A1 (en) * 2017-02-01 2018-08-09 Applied Materials, Inc. Boron doped tungsten carbide for hardmask applications
JP7221879B2 (ja) * 2017-05-12 2023-02-14 アプライド マテリアルズ インコーポレイテッド 基板及びチャンバ部品上への金属ケイ素化合物層の堆積
KR102549542B1 (ko) 2017-09-12 2023-06-29 삼성전자주식회사 금속 하드마스크 및 반도체 소자의 제조 방법
TWI713961B (zh) * 2018-01-15 2020-12-21 美商應用材料股份有限公司 針對碳化鎢膜改善附著及缺陷之技術
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
US11502160B2 (en) * 2020-03-02 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for forming metal-insulator-metal capacitors
KR102350978B1 (ko) * 2020-08-20 2022-01-13 이만호 다중 전극 이온 빔 발생 장치 및 이를 이용한 표면 개질 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW559890B (en) * 2001-09-14 2003-11-01 Asm Inc Metal nitride deposition by ALD with reduction pulse
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20090280267A1 (en) * 2008-05-07 2009-11-12 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
TW201113934A (en) * 2009-09-30 2011-04-16 Tokyo Electron Ltd Methods for multi-step copper plating on a continuous ruthenium film in recessed features

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62222074A (ja) * 1986-03-20 1987-09-30 Toshiba Corp セラミツクスが被着された部材の製造方法
JPH06173009A (ja) * 1992-12-04 1994-06-21 Sumitomo Electric Ind Ltd 耐摩耗性に優れた被覆超硬合金及びその製造方法
SI1158070T1 (sl) * 1999-02-11 2009-02-28 Hardide Ltd Prevleke volframovega karbida in postopek za njihovo pripravo
JP2004514793A (ja) * 2000-11-07 2004-05-20 コンポジット ツール カンパニー, インコーポレイテッド 高強度合金およびそれを作製するための方法
JP2005533178A (ja) * 2002-07-12 2005-11-04 ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ 窒化タングステンの蒸着
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7645484B2 (en) * 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP2009539237A (ja) * 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5959991B2 (ja) 2011-11-25 2016-08-02 東京エレクトロン株式会社 タングステン膜の成膜方法
US8623468B2 (en) * 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
SG11201405405SA (en) * 2012-03-09 2014-11-27 Youtec Co Ltd Cvd apparatus
KR20140028992A (ko) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US20140113453A1 (en) * 2012-10-24 2014-04-24 Lam Research Corporation Tungsten carbide coated metal component of a plasma reactor chamber and method of coating
JP6167263B2 (ja) * 2013-03-06 2017-07-26 国立大学法人山口大学 窒素含有アモルファスシリコンカーバイドからなるn型半導体及びその製造方法
JP6574547B2 (ja) * 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW559890B (en) * 2001-09-14 2003-11-01 Asm Inc Metal nitride deposition by ALD with reduction pulse
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20090280267A1 (en) * 2008-05-07 2009-11-12 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
TW201113934A (en) * 2009-09-30 2011-04-16 Tokyo Electron Ltd Methods for multi-step copper plating on a continuous ruthenium film in recessed features

Also Published As

Publication number Publication date
JP2016181687A (ja) 2016-10-13
US9875890B2 (en) 2018-01-23
KR102500931B1 (ko) 2023-02-16
JP6934705B2 (ja) 2021-09-15
US20160284541A1 (en) 2016-09-29
CN106024605A (zh) 2016-10-12
CN116013767A (zh) 2023-04-25
TW201700762A (zh) 2017-01-01
KR20160114514A (ko) 2016-10-05

Similar Documents

Publication Publication Date Title
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
TWI717336B (zh) 硬遮罩用金屬介電膜之沉積
TWI718120B (zh) 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜
CN110098100B (zh) 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性
JP2016105466A (ja) 半導体基板処理装置において半導体基板を均一に処理するためのガス注入方法
JP7296378B2 (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
CN110537241B (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
JP2022527460A (ja) 高エッチング選択性かつ低応力のアッシャブルカーボンハードマスク
US20220235464A1 (en) Selective carbon deposition
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法
CN112753091A (zh) 双频硅烷基二氧化硅沉积以最小化膜的不稳定性
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage