KR20170125712A - 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화 - Google Patents

유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화 Download PDF

Info

Publication number
KR20170125712A
KR20170125712A KR1020170052761A KR20170052761A KR20170125712A KR 20170125712 A KR20170125712 A KR 20170125712A KR 1020170052761 A KR1020170052761 A KR 1020170052761A KR 20170052761 A KR20170052761 A KR 20170052761A KR 20170125712 A KR20170125712 A KR 20170125712A
Authority
KR
South Korea
Prior art keywords
power level
dielectric film
substrate
frequency
processing chamber
Prior art date
Application number
KR1020170052761A
Other languages
English (en)
Other versions
KR102297695B1 (ko
Inventor
제이슨 대진 박
슈라벤디즈크 바트 반
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170125712A publication Critical patent/KR20170125712A/ko
Application granted granted Critical
Publication of KR102297695B1 publication Critical patent/KR102297695B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • H01J2237/14Lenses magnetic
    • H01J2237/1405Constructional details
    • H01J2237/141Coils

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 상의 유전체 막을 치밀화하는 방법은, 기판 프로세싱 챔버 내의 기판 지지부 상에 유전체 막을 포함한 기판을 배치하는 단계; 헬륨 및 산소를 포함한 가스 혼합물을 기판 프로세싱 챔버에 공급하는 단계; 기판 프로세싱 챔버 내의 압력을 미리 결정된 압력 이상의 압력으로 제어하는 단계; 기판 프로세싱 챔버 내에 플라즈마를 생성하도록 제 1 주파수로 제 1 전력 레벨을 코일에 공급하는 단계를 포함한다. 코일은 기판 프로세싱 챔버의 외측 표면 둘레에 배치된다. 방법은 미리 결정된 기간 동안 유전체 막을 치밀화하는 단계를 포함한다. 압력 및 제 1 전력 레벨은 유전체 막의 치밀화 동안 유전체 막의 스퍼터링을 방지하도록 선택된다.

Description

유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화{DENSIFICATION OF DIELECTRIC FILM USING INDUCTIVELY COUPLED HIGH DENSITY PLASMA}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 고밀도, 유도 결합 플라즈마 소스를 사용한 유전체 막의 치밀화에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과, 뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상에 막을 증착하고 에칭하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 프로세싱 챔버, 가스 분배 디바이스 및 기판 지지부를 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배치된다. 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 그리고 RF (radio frequency) 플라즈마가 화학 반응들을 활성화시키도록 사용될 수도 있다.
STI (shallow trench isolation) 피처들은 IC들 (integrated circuits) 내의 개별적인 트랜지스터 디바이스들 간의 전기적 절연을 제공한다. STI 피처들은 FCVD (flowable chemical vapor deposition) 또는 다른 방법들을 사용하여 증착되는 유동성 산화물과 같은 유전체 막으로 충진될 수도 있다. 유동성 산화물은 액체-같은 충진 거동을 제공한다.
유동성 산화물 막의 품질은 유동성 산화물 막을 다공성이게 만드는 고 실라놀 함량으로 제한된다. 유동성 산화물 막 내의 실라놀 결합들은 고온 노 (furnace) 내의 어닐링, UV 처리에 대한 노출 또는 플라즈마 어닐링에 의해 제거된다. 이들 방식들 각각의 유효성은 저 열 예산들 (thermal budgets) 및 유동성 산화물 막 내로의 불충분한 투과 깊이 때문에 제한된다. 종래의 플라즈마 어닐링은 막에 손상을 주는 고 스퍼터링 레이트 때문에 사용될 수 없다.
일부 애플리케이션들에서, 트렌치의 AR (aspect ratio) 은 8:1만큼 높을 수 있고 그리고 트렌치의 개구부는 약 20 ㎚에 이르기까지 좁혀질 수도 있다. 보이드-프리 STI 충진을 달성하는 것은, 막이 차후의 집적 단계들 동안 추가의 프로세싱을 겪을 수도 있기 때문에 중요하다. 차후의 프로세싱은 보이드들을 노출시킬 수도 있다. 일부 예들에서, 보이드들은 본의 아니게 전도성 재료로 충진될 수도 있고, 이는 단락들 (short circuit) 을 야기할 수 있다.
치밀화 프로세스는 트렌치들의 하단 부분에 이르기까지 또는 차후의 CMP (chemical mechanical polishing) 단계 또는 다른 습식 집적 단계 동안 습식 화학물질들이 도달할 수도 있는 지점까지 완전히 치밀화된 막을 달성할 수 있어야 한다.
기판 상의 유전체 막을 치밀화하는 방법은, 기판 프로세싱 챔버 내의 기판 지지부 상에 유전체 막을 포함한 기판을 배치하는 단계; 헬륨 및 산소를 포함한 가스 혼합물을 기판 프로세싱 챔버에 공급하는 단계; 기판 프로세싱 챔버 내의 압력을 미리 결정된 압력 이상의 압력으로 제어하는 단계; 및 기판 프로세싱 챔버 내에서 플라즈마를 생성하도록 제 1 주파수로 제 1 전력 레벨을 코일에 공급하는 단계를 포함한다. 코일은 기판 프로세싱 챔버의 외측 표면의 적어도 일부 둘레에 배치된다. 방법은 미리 결정된 기간 동안 유전체 막을 치밀화하는 단계를 더 포함한다. 압력 및 제 1 전력 레벨은 유전체 막의 치밀화 동안 유전체 막의 스퍼터링을 방지하도록 선택된다.
다른 특징들에서, 방법은 제 1 주파수로 제 1 전력 레벨을 공급하는 동안 제 2 주파수로 제 2 전력 레벨을 코일에 공급하는 단계를 포함한다.
방법은 제 1 주파수로 제 1 전력 레벨 그리고 제 2 주파수로 제 2 전력 레벨을 공급하는 동안 제 3 주파수로 제 3 전력 레벨을 기판 지지부에 공급하는 단계를 포함한다. 미리 결정된 압력, 제 1 전력 레벨, 제 2 전력 레벨 및 제 3 전력 레벨은 유전체 막의 치밀화 동안 유전체 막의 스퍼터링을 방지하도록 선택된다.
다른 특징들에서, 미리 결정된 압력은 40 mTorr 이상이다. 제 1 전력 레벨은 5000 W 이상이고 그리고 제 1 주파수는 340 ㎑ 내지 375 ㎑ 범위 내에 있다. 제 3 전력 레벨은 2000 W 이하이고 그리고 제 3 주파수는 13.56 ㎒이다. 제 2 주파수는 420 ㎑ 내지 460 ㎑ 범위 내에 있다.
다른 특징들에서, 제 1 전력 레벨은 5000 W 초과이고, 제 3 전력 레벨은 2000 W 이하이고 그리고 미리 결정된 압력은 40 mTorr 이상이다.
다른 특징들에서, 제 1 전력 레벨은 6000 W 내지 8000 W이고, 제 2 전력 레벨은 3000 W 내지 5000 W이고 그리고 제 3 전력 레벨은 2000 W 미만이다. 기판의 온도는 유전체 막의 치밀화 동안 570 ℃ 미만이다. 유전체 막은 유동성 산화물 막을 포함한다.
기판 상의 유전체 막을 치밀화하는 방법은 기판 프로세싱 챔버 내에서 기판 지지부 상에 유전체 막을 포함한 기판을 배치하는 단계; 헬륨 및 산소를 포함한 가스 혼합물을 기판 프로세싱 챔버로 공급하는 단계; 기판 프로세싱 챔버 내의 압력을 40 mTorr 이상의 압력으로 제어하는 단계; 및 기판 프로세싱 챔버 내에서 플라즈마를 생성하도록 제 1 주파수로 제 1 전력 레벨 그리고 제 2 주파수로 제 2 전력 레벨을 코일에 공급하는 단계를 포함한다. 코일은 기판 프로세싱 챔버의 외측 표면 둘레에 배치된다. 방법은 제 3 주파수로 제 3 전력 레벨을 기판 지지부에 공급하는 단계; 및 미리 결정된 기간 동안 유전체 막을 치밀화하는 단계를 더 포함한다. 제 1 전력 레벨은 5000 W 이상이고, 제 2 전력 레벨은 3000 W 내지 5000 W이고 그리고 제 3 전력 레벨은 2000 W 이하이다.
다른 특징들에서, 제 1 주파수는 340 ㎑ 내지 375 ㎑ 범위 내에 있고, 제 2 주파수는 420 ㎑ 내지 460 ㎑ 범위 내에 있고 그리고 제 3 주파수는 13.56 ㎒이다. 제 1 전력 레벨은 6000 W 내지 8000 W이다. 기판의 온도는 유전체 막의 치밀화 동안 570 ℃ 미만이다. 유전체 막은 유동성 산화물 막을 포함한다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른, STI 피처를 포함한 기판의 예의 측단면도이다.
도 2는 본 개시에 따른, 피처가 유동성 산화물 막을 사용하여 충진된 후의 도 1의 기판의 예의 측단면도이다.
도 3은 본 개시에 따른, 치밀화 방법이 수행된 후의 도 2의 기판의 예의 측단면도이다.
도 4는 본 개시에 따른, 유동성 산화물 막의 치밀화를 수행하기 위한 기판 프로세싱 챔버의 예의 기능적 블록도이다.
도 5는 본 개시에 따른, 유동성 산화물 막을 식별하기 위한 방법의 예를 예시하는 흐름도이다.
도 6은 코일에 공급된 저 주파수 전력의 함수로서 스퍼터링 레이트의 예의 그래프이다.
도 7은 압력의 함수로서 스퍼터링 레이트의 예를 예시하는 그래프이다.
도 8은 기판 지지부에 공급된 고 주파수 전력의 함수로서 스퍼터링 레이트의 예를 예시하는 그래프이다.
도 9는 에칭 두께의 함수로서 WERR (wet etch rate ratio) 의 예를 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들 (elements) 을 식별하기 위해 재사용될 수도 있다.
본 개시는 피처들 내에 증착되는 유동성 산화물 막과 같은 유전체 막을 치밀화하기 위한 시스템들 및 방법들에 관한 것이다. 일부 예들에서, 피처들은 STI를 포함한다. ICP (inductively coupled plasma) 챔버 내의 플라즈마 어닐링 동안 플라즈마 소스 조건들은 플라즈마 전압 및 스퍼터링 레이트를 최소화하는 고 플라즈마 소스 전력을 제공하도록 수정된다. 일부 예들에서, 고 챔버 압력이 평균 자유 경로 및 이온 에너지를 감소시키도록 사용된다. 고 플라즈마 전력 및 고 압력의 사용은 막 치밀화로 하여금 스퍼터링 없이 발생하게 한다.
일부 예들에서, RF 바이어스 전력은 유전체 막 내로의 투과 깊이를 향상시키도록 기판 지지부에 공급된다. RF 바이어스 전력은 코일 전력과 동일한 주파수로 또는 상이한 주파수로 공급될 수도 있다. 본 명세서에 기술된 바와 같은 치밀화 동안, 기판의 온도는 종래의 열 어닐링 (대략 900 ℃로) 보다 낮은 570 ℃보다 낮게 유지될 수 있다. 일부 예들에서, 헬륨 및 산소를 포함한 플라즈마 가스 혼합물은 비산화 플라즈마 가스 혼합물들과 비교할 때 막으로부터 실라놀 제거를 가속화하도록 그리고 투과 깊이를 개선하도록 사용된다.
본 명세서에 기술된 기판 프로세싱 시스템은 플라즈마 전압 (Vp) 과 기판 전압 (Vw) 사이의 차를 감소시킴으로써 "소프트 (soft)" 플라즈마를 채용한다. 기판에 공급된 에너지는 q * Ni * (Vp-Vw) 와 같고, Ni는 이온 밀도이고, Vp는 플라즈마 전압이고, q는 챔버 설계, 전력 효율 및 다른 인자들에 기초하여 설정되는 캘리브레이션 인자이고, 그리고 Vw는 웨이퍼 전압이다. (Vp-Vw) 가 스퍼터링 문턱값 (대략 20 V) 보다 높다면, 스퍼터링이 발생한다. 고 이온 플럭스 (Ni를 증가시킴) 는 (Vp-Vw) 를 감소시키고 그리고 "소프트" 플라즈마를 생성한다.
이제 도 1 내지 도 3을 참조하면, STI 피처가 유전체 막으로 충진되고 그리고 유전체 막이 치밀화된다. 도 1에서, 기판 (50) 은 측벽들 (54) 및 하단부 (56) 를 가진 STI 피처 (52) 를 포함한다. 도 2에서, 유동성 산화물 막과 같은 유전체 막 (58) 이 STI 피처 (52) 내에 증착된다. 도 3에서, 유전체 막은 치밀화된 유전체 막 (58') 을 생성하도록 치밀화 프로세스를 겪는다.
이제 도 4를 참조하면, 기판 프로세싱 챔버 (100) 가 위 그리고 아래에 기술된 바와 같이 치밀화를 수행하도록 사용될 수도 있다. 특정한 챔버가 도시되지만, 다른 ICP 챔버들이 사용될 수도 있다. 기판 프로세싱 챔버 (100) 는 반구형 돔 (104) 및 기판 (114) 을 지지하기 위한 기판 지지부 (112) 를 포함한다. 반구형 돔 (104) 이 도시되지만, 기판 프로세싱 챔버 (100) 는 타원형, 상단이 편평한 형상 (flat-topped), 등과 같은 다른 형상들을 가질 수도 있다. 일부 예들에서, 기판 지지부 (112) 가 ESC (electrostatic chuck) 를 포함하지만, 다른 기판 지지부들이 사용될 수도 있다.
코일 (116) 이 반구형 돔 (104) 의 외측 표면 둘레에 배치된다. 하나 이상의 상부 가스 주입기들 (120) 은 프로세스 가스 혼합물을 하측 방향 그리고/또는 외측 방향으로 주입하도록 반구형 돔 (104) 의 상부 부분에 배치된다. 하나 이상의 하부 가스 주입기들 (124) 은 프로세스 가스 혼합물을 반구형 돔 (104) 및 기판 (114) 에 대해 상측 방향 그리고/또는 내측 방향으로 주입시키도록 반구형 돔 (104) 의 하부 부분에 배치된다. 단지 예를 들면, 하부 가스 주입기들 (124) 은 반구형 돔 (104) 의 하부 주변부 둘레에 균일하게 이격된 36 개의 가스 주입기들을 포함할 수도 있다.
가스 전달 시스템 (130) 은 프로세스 가스 혼합물을 상부 가스 주입기들 (120) 및 하부 가스 주입기들 (124) 로 공급한다. 가스 전달 시스템 (130) 은 하나 이상의 가스 소스들, MFC들 (mass flow controllers), 밸브들 및/또는 매니폴드들 (미도시) 을 포함할 수도 있다. RF 바이어스 회로 (134) 는 반구형 돔 (104) 내에 플라즈마 (118) 를 생성하도록 코일 (116) 에 RF 전력을 공급한다. 기판 지지부 바이어스 회로 (136) 는 RF 전력을 기판 지지부 (112) 에 공급한다. 기판 지지부 온도 제어 회로 (138) 는 기판 프로세싱 동안 기판 지지부 (112) 의 온도를 제어한다. 기판 지지부 온도 제어 회로 (138) 는 기판 지지부 (112) 의 온도를 제어하기 위해 기판 지지부 (112), 저항 가열기들, 및/또는 다른 디바이스들 내의 플로우 채널들로 가열 및/또는 냉각 유체를 전달하기 위한 시스템들을 포함할 수도 있다.
밸브 (144) 및 펌프 (146) 는 프로세싱 챔버로부터 반응물질들을 배출하도록 제공된다. 일부 예들에서, 펌프 (146) 는 변속 펌프를 포함한다. 일부 예들에서, 펌프 (146) 는 터보 펌프를 포함한다. 펌프 (146) 의 속도는 기판 프로세싱 챔버 (100) 의 내부의 압력을 설정하도록 가변된다. 개루프 또는 폐루프 피드백이 기판 프로세싱 챔버 (100) 의 내부의 압력을 제어하도록 사용될 수도 있다.
폐루프 피드백이 사용된다면, 압력 센서 (148) 는 기판 프로세싱 챔버 (100) 의 내부의 압력을 측정하도록 사용될 수도 있다. 제어기 (140) 는 측정된 압력에 기초하여 기판 프로세싱 챔버 (100) 의 내부의 압력을 제어하도록 변속 펌프 (146) 와 통신할 수도 있다. 개루프 피드백이 사용된다면, 펌프 (146) 의 속도는 기판 프로세싱 챔버 (100) 의 내부의 압력을 제어하도록 사용된다. 보다 저 펌프 속도들은 보다 고 압력들에 대응하고 반면에 보다 고 펌프 속도들은 보다 저 압력들에 대응한다. 또한, 제어기 (140) 는 타이밍 및 가스 전달 시스템 (130) 으로부터의 프로세스 가스 혼합물의 전달 및 코일 (116) 과 기판 지지부 (112) 로의 전력을 제어하도록 사용될 수도 있다.
동작 파라미터들 및 가스 혼합물들의 특정한 예들이 이하에 기술되지만, 다른 동작 파라미터들 및 가스 혼합물들이 사용될 수도 있다. 일부 예들에서, 플라즈마 가스 혼합물은 헬륨 (He) 및 산소 (O) 를 포함한다. 일부 예들에서, 산소는 분자 산소 (O2), 이산화탄소 (CO2), 아산화질소 (N2O), 일산화질소 (NO), 오존 (O3), 물 (증기) (H2O), 과산화수소 (H2O2) 또는 다른 적합한 산소 함유 가스들을 사용하여 공급될 수도 있다.
예를 들면, H2 및 O2 가스들이 사용될 때, He 및 O2는 상이한 파장들의 VUV를 생성한다. He의 VUV 방사는 ~ 58 ㎚이고 그리고 O2의 VUV 방사는 ~ 130 ㎚이다. 다른 프로세스 가스들은 ~ 105 ㎚ 및 ~ 107 ㎚에서의 Ar, ~ 120 ㎚에서의 분자 질소 (N2), 및 ~ 121 ㎚에서의 분자 수소 (H2) 를 포함할 수도 있다. 상대적으로 저 기판 지지부 바이어스는 스퍼터링에 기인한 막 손상을 방지한다.
일부 예들에서, He는 720 sccm으로 공급되고 그리고 O2는 500 sccm으로 공급된다. 페데스탈은 하부 가스 주입기들 (124) 의 주입 위치 (대충 플라즈마의 하부 위치에 대응함) 로부터 약 1.2"에 배치된다. 코일 (116) 은 RF 전력에 의해 여기된다.
일부 예들에서, RF 전력은 340 내지 460 ㎐ 범위 내의 단일 주파수로 그리고 10 ㎾ 초과의 전력 레벨로 코일 (116) 에 공급된다. 일부 예들에서, RF 전력은 2 개 이상의 상이한 주파수들로 코일 (116) 에 공급될 수도 있다. 예를 들어, LF (low frequency) 및 MF (medium frequency) 가 사용될 수도 있다. 일부 예들에서, 기판 지지부는 HF (high frequency) 로 RF 전력에 의해 바이어싱된다. 일부 예들에서, LF 전력은 340 내지 375 ㎑ 범위 내의 주파수를 갖고 그리고 MF 전력은 420 내지 460 ㎑의 주파수를 갖지만, 다른 주파수들이 사용될 수 있다. 일부 예들에서, HF 전력은 13.56 ㎒의 주파수를 갖지만, 다른 주파수들이 사용될 수 있다.
제 1 예에서, 챔버 내의 압력이 50 mTorr로 유지되고, LF 전력이 7000 W이고, MF 전력이 4000 W이고, HF 전력이 0 W이고 프로세스 기간이 300 초이지만, 다른 전력 레벨들, 압력들 및 기간들이 사용될 수 있다. 기판 온도는 치밀화 동안 대략 520 ℃이다.
제 2 예에서, 챔버 내의 압력이 50 mTorr로 유지되고, LF 전력이 7000 W이고, MF 전력이 4000 W이고, HF 전력이 2000 W이고 프로세스 기간이 600 초이지만, 다른 전력 레벨들, 압력들 및 기간들이 사용될 수 있다. 기판 온도는 치밀화 동안 대략 536 ℃이다.
다른 예들에서, LF 전력은 5000 W 이상이고, HF 전력은 2000 W 이하이고 그리고 챔버 압력은 40 mTorr 이상이다. 다른 예들에서, LF 전력은 6000 W 내지 8000 W 범위 내에 있고, MF 전력 레벨은 3000 W 내지 5000 W 범위 내에 있고 그리고 HF 전력 레벨은 2000 W 이하이다.
이제 도 5를 참조하면, STI 피처 내의 유전체 막을 치밀화하기 위한 방법 200이 도시된다. 204에서, 기판이 프로세싱 챔버 내에 배치된다. 기판은 유동성 산화물 막과 같은 유전체 막으로 충진되는 피처를 포함한다. 208에서, 챔버 압력은 40 mTorr 이상인 미리 결정된 값으로 유지된다. 212에서, 가스 혼합물이 프로세싱 챔버에 공급된다. 가스 혼합물은 산소 및 헬륨 (He) 을 포함한다. 216에서, 전력 (LF 전력 및 MF 전력을 포함함) 이 코일에 공급된다. 220에서, HF 전력이 기판 지지부에 공급된다. 224에서, 제어부는 치밀화 기간이 끝났는지를 결정한다. 224가 거짓이라면, 방법은 208로 되돌아간다. 그렇지 않다면, 방법은 종료된다.
이제 도 6 내지 도 9를 참조하면, 스퍼터링 레이트가 LF 전력, 챔버 압력 및 HF 전력과 같은 다양한 입력 파라미터들의 함수로서 도시된다. 도 6에서, 스퍼터링 레이트는 HF 전력을 4 ㎾로 그리고 챔버 압력을 5 mTorr로 유지하는 동안 LF 전력의 함수로서 도시된다. 보이는 바와 같이, 스퍼터링 레이트는 LF 전력의 대략 5000 W에서 상당히 감소한다. 도 7에서, 스퍼터링 레이트는 HF 전력을 0 W로 그리고 LF 전력을 11 ㎾로 유지하는 동안 챔버 압력의 함수로서 도시된다. 보이는 바와 같이, 스퍼터링 레이트는 대략 40 mTorr 초과의 압력들에서 상당히 감소한다. 도 8에서, 스퍼터링 레이트는 HF 전력의 함수로서 도시된다. 보이는 바와 같이, 스퍼터링 레이트는 2000 W 초과의 HF 전력 레벨들에서 증가하기 시작한다.
이제 도 9를 참조하면, 3 미만인 WERR이 1500 Å 이하의 두께에 대해 상기에 기술된 제 1 예시적인 파라미터들 (기판 지지부 바이어스 없음) 을 사용하여 달성될 수 있다. 2 미만인 WERR이 1000 Å의 두께에 대해 상기에 기술된 제 2 예시적인 파라미터들을 사용하여 달성될 수 있다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되지만, 본 개시의 임의의 실시예에 대해 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (18)

  1. 기판 상의 유전체 막을 치밀화하는 방법에 있어서,
    기판 프로세싱 챔버 내의 기판 지지부 상에 유전체 막을 포함한 기판을 배치하는 단계;
    헬륨 및 산소를 포함한 가스 혼합물을 상기 기판 프로세싱 챔버에 공급하는 단계;
    상기 기판 프로세싱 챔버 내의 압력을 미리 결정된 압력 이상의 압력으로 제어하는 단계;
    상기 기판 프로세싱 챔버 내에서 플라즈마를 생성하도록 제 1 주파수로 제 1 전력 레벨을 코일에 공급하는 단계로서, 상기 코일은 상기 기판 프로세싱 챔버의 외측 표면의 적어도 일부 둘레에 배치되는, 상기 제 1 전력 레벨을 상기 코일에 공급하는 단계; 및
    미리 결정된 기간 동안 상기 유전체 막을 치밀화하는 단계를 포함하고,
    상기 압력 및 상기 제 1 전력 레벨은 상기 유전체 막의 치밀화 동안 상기 유전체 막의 스퍼터링을 방지하도록 선택되는, 유전체 막 치밀화 방법.
  2. 제 1 항에 있어서,
    상기 제 1 주파수로 상기 제 1 전력 레벨을 공급하는 동안 제 2 주파수로 제 2 전력 레벨을 상기 코일에 공급하는 단계를 더 포함하는, 유전체 막 치밀화 방법.
  3. 제 2 항에 있어서,
    상기 제 1 주파수로 상기 제 1 전력 레벨 그리고 상기 제 2 주파수로 상기 제 2 전력 레벨을 공급하는 동안 제 3 주파수로 제 3 전력 레벨을 상기 기판 지지부에 공급하는 단계를 더 포함하는, 유전체 막 치밀화 방법.
  4. 제 3 항에 있어서,
    상기 미리 결정된 압력, 상기 제 1 전력 레벨, 상기 제 2 전력 레벨 및 상기 제 3 전력 레벨은 상기 유전체 막의 치밀화 동안 상기 유전체 막의 스퍼터링을 방지하도록 선택되는, 유전체 막 치밀화 방법.
  5. 제 1 항에 있어서,
    상기 미리 결정된 압력은 40 mTorr 이상인, 유전체 막 치밀화 방법.
  6. 제 1 항에 있어서,
    상기 제 1 전력 레벨은 5000 W 이상이고 그리고 상기 제 1 주파수는 340 ㎑ 내지 375 ㎑ 범위 내에 있는, 유전체 막 치밀화 방법.
  7. 제 3 항에 있어서,
    상기 제 3 전력 레벨은 2000 W 이하이고 그리고 상기 제 3 주파수는 13.56 ㎒인, 유전체 막 치밀화 방법.
  8. 제 2 항에 있어서,
    상기 제 2 주파수는 420 ㎑ 내지 460 ㎑ 범위 내에 있는, 유전체 막 치밀화 방법.
  9. 제 3 항에 있어서,
    상기 제 1 전력 레벨은 5000 W 초과이고, 상기 제 3 전력 레벨은 2000 W 이하이고 그리고 상기 미리 결정된 압력은 40 mTorr 이상인, 유전체 막 치밀화 방법.
  10. 제 3 항에 있어서,
    상기 제 1 전력 레벨은 6000 W 내지 8000 W이고, 상기 제 2 전력 레벨은 3000 W 내지 5000 W이고 그리고 상기 제 3 전력 레벨은 2000 W 미만인, 유전체 막 치밀화 방법.
  11. 제 1 항에 있어서,
    상기 기판의 온도는 상기 유전체 막의 치밀화 동안 570 ℃ 미만인, 유전체 막 치밀화 방법.
  12. 제 1 항에 있어서,
    상기 유전체 막은 유동성 산화물 막을 포함하는, 유전체 막 치밀화 방법.
  13. 기판 상의 유전체 막을 치밀화하는 방법에 있어서,
    기판 프로세싱 챔버 내에서 기판 지지부 상에 유전체 막을 포함한 기판을 배치하는 단계;
    헬륨 및 산소를 포함한 가스 혼합물을 상기 기판 프로세싱 챔버로 공급하는 단계;
    상기 기판 프로세싱 챔버 내의 압력을 40 mTorr 이상의 압력으로 제어하는 단계;
    상기 기판 프로세싱 챔버 내에서 플라즈마를 생성하도록 제 1 주파수로 제 1 전력 레벨 그리고 제 2 주파수로 제 2 전력 레벨을 코일에 공급하는 단계로서, 상기 코일은 상기 기판 프로세싱 챔버의 외측 표면 둘레에 배치되는, 상기 제 1 전력 레벨 및 제 2 전력 레벨을 상기 코일에 공급하는 단계;
    제 3 주파수로 제 3 전력 레벨을 상기 기판 지지부에 공급하는 단계; 및
    미리 결정된 기간 동안 상기 유전체 막을 치밀화하는 단계를 포함하고,
    상기 제 1 전력 레벨은 5000 W 이상이고, 상기 제 2 전력 레벨은 3000 W 내지 5000 W이고 그리고 상기 제 3 전력 레벨은 2000 W 이하인, 유전체 막 치밀화 방법.
  14. 제 13 항에 있어서,
    상기 제 1 주파수는 340 ㎑ 내지 375 ㎑ 범위 내에 있고, 상기 제 2 주파수는 420 ㎑ 내지 460 ㎑ 범위 내에 있고 그리고 상기 제 3 주파수는 13.56 ㎒인, 유전체 막 치밀화 방법.
  15. 제 13 항에 있어서,
    상기 제 1 전력 레벨은 6000 W 내지 8000 W인, 유전체 막 치밀화 방법.
  16. 제 13 항에 있어서,
    상기 기판의 온도는 상기 유전체 막의 치밀화 동안 570 ℃ 미만인, 유전체 막 치밀화 방법.
  17. 제 13 항에 있어서,
    상기 유전체 막은 유동성 산화물 막을 포함하는, 유전체 막 치밀화 방법.
  18. 기판 상의 유전체 막을 치밀화하는 방법에 있어서,
    기판 프로세싱 챔버 내에서 기판 지지부 상에 유전체 막을 포함한 기판을 배치하는 단계;
    헬륨 및 산소를 포함한 가스 혼합물을 상기 기판 프로세싱 챔버로 공급하는 단계;
    상기 기판 프로세싱 챔버 내의 압력을 미리 결정된 압력 이상의 압력으로 제어하는 단계;
    상기 기판 프로세싱 챔버 내에서 플라즈마를 생성하도록 제 1 주파수로 제 1 전력 레벨 그리고 제 2 주파수로 제 2 전력 레벨을 코일에 공급하는 단계로서, 상기 코일은 상기 기판 프로세싱 챔버의 외측 표면의 적어도 일부 둘레에 배치되고, 상기 제 1 전력 레벨은 제 1 범위 내에 있고 그리고 상기 제 2 전력 레벨은 제 2 범위 내에 있고, 그리고 상기 제 1 범위와 상기 제 2 범위는 오버랩되지 않는, 상기 제 1 전력 레벨 및 제 2 전력 레벨을 상기 코일에 공급하는 단계; 및
    미리 결정된 기간 동안 상기 유전체 막을 치밀화하는 단계를 포함하고,
    상기 압력 및 상기 제 1 전력 레벨 및 상기 제 2 전력 레벨은 상기 유전체 막의 치밀화 동안 상기 유전체 막의 스퍼터링을 방지하도록 선택되는, 유전체 막 치밀화 방법.
KR1020170052761A 2016-05-05 2017-04-25 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화 KR102297695B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/147,368 2016-05-05
US15/147,368 US9741584B1 (en) 2016-05-05 2016-05-05 Densification of dielectric film using inductively coupled high density plasma

Publications (2)

Publication Number Publication Date
KR20170125712A true KR20170125712A (ko) 2017-11-15
KR102297695B1 KR102297695B1 (ko) 2021-09-03

Family

ID=59581508

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170052761A KR102297695B1 (ko) 2016-05-05 2017-04-25 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화

Country Status (4)

Country Link
US (1) US9741584B1 (ko)
KR (1) KR102297695B1 (ko)
CN (1) CN107452671A (ko)
TW (1) TWI747899B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190345608A1 (en) * 2018-05-08 2019-11-14 Lam Research Corporation Method of providing a plasma atomic layer deposition
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
JP2022098040A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140096297A (ko) * 2011-11-21 2014-08-05 램 리써치 코포레이션 다수의 무선 주파수 전력들을 갖는 쓰라이오드 반응기 설계
KR20150126783A (ko) * 2014-05-02 2015-11-13 에이에스엠 아이피 홀딩 비.브이. 저-산화 플라즈마-지원 공정

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5997699A (en) * 1996-04-08 1999-12-07 Micron Technology Inc. Insitu faceting during deposition
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6100205A (en) * 1997-04-02 2000-08-08 United Microelectronics Corp. Intermetal dielectric layer formation with low dielectric constant using high density plasma chemical vapor deposition process
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
JP2002512440A (ja) * 1998-04-21 2002-04-23 アプライド マテリアルズ インコーポレイテッド 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP3902000B2 (ja) * 2001-12-12 2007-04-04 株式会社ルネサステクノロジ 半導体装置の製造方法、成膜時間の決定方法
JP4433680B2 (ja) * 2002-06-10 2010-03-17 コニカミノルタホールディングス株式会社 薄膜形成方法
US20040137757A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6914015B2 (en) * 2003-10-31 2005-07-05 International Business Machines Corporation HDP process for high aspect ratio gap filling
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7297608B1 (en) * 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7189639B2 (en) * 2005-02-10 2007-03-13 Applied Materials, Inc. Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7709345B2 (en) * 2006-03-07 2010-05-04 Micron Technology, Inc. Trench isolation implantation
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7888273B1 (en) * 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
JP2010010231A (ja) * 2008-06-25 2010-01-14 Hitachi High-Technologies Corp プラズマ処理装置
JP5265309B2 (ja) * 2008-11-04 2013-08-14 株式会社アルバック スパッタリング方法
US20100193898A1 (en) * 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20130220548A1 (en) * 2010-09-10 2013-08-29 Emd Corporation Plasma processing device
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US9269809B2 (en) * 2013-03-14 2016-02-23 Globalfoundries Inc. Methods for forming protection layers on sidewalls of contact etch stop layers
JP6173086B2 (ja) * 2013-07-19 2017-08-02 キヤノン株式会社 シリコン基板のエッチング方法
US20150118863A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
WO2015126590A1 (en) * 2014-02-18 2015-08-27 Applied Materials, Inc. Hermetic cvd-cap with improved step coverage in high aspect ratio structures
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140096297A (ko) * 2011-11-21 2014-08-05 램 리써치 코포레이션 다수의 무선 주파수 전력들을 갖는 쓰라이오드 반응기 설계
KR20150126783A (ko) * 2014-05-02 2015-11-13 에이에스엠 아이피 홀딩 비.브이. 저-산화 플라즈마-지원 공정

Also Published As

Publication number Publication date
TW201802943A (zh) 2018-01-16
US9741584B1 (en) 2017-08-22
TWI747899B (zh) 2021-12-01
CN107452671A (zh) 2017-12-08
KR102297695B1 (ko) 2021-09-03

Similar Documents

Publication Publication Date Title
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
KR102297695B1 (ko) 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화
CN100539039C (zh) 集成工艺调制一种利用hdp-cvd间隙填充的新型方法
KR20200028490A (ko) 수평 표면들 상에 SiN의 선택적인 증착
KR102562226B1 (ko) 원자 층 제어를 사용한 막의 등방성 에칭
KR20170092113A (ko) 하드마스크의 자기-제한된 평탄화
US20230084901A1 (en) Ultrahigh selective nitride etch to form finfet devices
US11114306B2 (en) Methods for depositing dielectric material
US20220205096A1 (en) Dielectric gapfill using atomic layer deposition (ald), inhibitor plasma and etching
JP2020529739A (ja) Tcpエッチングチャンバ内での統合原子層パッシベーションおよびインサイチュエッチング−alp方法
JP2022500850A (ja) 準安定活性ラジカル種を使用する原子層処置プロセス
CN107045999B (zh) 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
US10727089B2 (en) Systems and methods for selectively etching film
JP2022527460A (ja) 高エッチング選択性かつ低応力のアッシャブルカーボンハードマスク
WO2019241060A1 (en) Efficient cleaning and etching of high aspect ratio structures
WO2019032282A1 (en) SYSTEMS AND METHODS FOR PLASMA-FREE DEHALOGENATION
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
TW201841229A (zh) 對於鍺之電漿輔助摻雜

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant