CN107452671A - 使用电感耦合高密度等离子体进行介电膜的致密化 - Google Patents

使用电感耦合高密度等离子体进行介电膜的致密化 Download PDF

Info

Publication number
CN107452671A
CN107452671A CN201710305801.2A CN201710305801A CN107452671A CN 107452671 A CN107452671 A CN 107452671A CN 201710305801 A CN201710305801 A CN 201710305801A CN 107452671 A CN107452671 A CN 107452671A
Authority
CN
China
Prior art keywords
power level
dielectric film
substrate
frequency
processing chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710305801.2A
Other languages
English (en)
Inventor
詹森·达埃金·帕克
巴特·范·斯查文迪克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107452671A publication Critical patent/CN107452671A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • H01J2237/14Lenses magnetic
    • H01J2237/1405Constructional details
    • H01J2237/141Coils

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及使用电感耦合高密度等离子体进行介电膜的致密化。一种用于致密化衬底上的介电膜的方法包括:将包括介电膜的衬底布置在衬底处理室中的衬底支撑件上;向所述衬底处理室供应包括氦和氧的气体混合物;将所述衬底处理室中的压强控制为大于或等于预定压强的压强;将第一功率电平以第一频率提供给线圈以在所述衬底处理室中产生等离子体。所述线圈围绕所述衬底处理室的外表面布置。所述方法包括致密化所述介电膜持续预定时间。选择所述压强和所述第一功率电平以防止在致密化所述介电膜期间所述介电膜的溅射。

Description

使用电感耦合高密度等离子体进行介电膜的致密化
技术领域
本公开涉及衬底处理系统,更具体地涉及使用高密度电感耦合等离子体源进行介电膜的致密化。
背景技术
这里提供的背景描述是为了一般地呈现本公开的背景的目的。在该背景技术部分以及在提交时不会以其他方式认为是现有技术的描述的方面中描述的程度上,目前署名的发明人的工作既不明确地也不隐含地被承认为针对本公开的现有技术。
衬底处理系统可用于沉积和蚀刻衬底(例如半导体晶片)上的膜。衬底处理系统通常包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底被布置在衬底支撑件上。可以将不同的气体混合物引入处理室中,并且射频(RF)等离子体可以用于激活化学反应。
浅沟道隔离(STI)特征在集成电路(IC)中的各个晶体管器件之间提供电气隔离。STI特征可以用诸如使用可流动化学气相沉积(FCVD)或其它方法沉积的可流动氧化物之类的介电膜填充。可流动氧化物提供类似液体的填充行为。
可流动氧化物膜的质量受到高硅烷醇含量的限制,这使得可流动氧化物膜是多孔的。可流动氧化物膜中的硅烷醇键通过在高温炉中退火、暴露于UV处理或等离子体退火来除去。这些方法中的每一种的有效性受到限制,因为低热量预算和渗透到可流动氧化物膜中的渗透深度差。由于溅射速率高,因此不能使用常规等离子体退火,这会损害膜。
在一些应用中,沟道的高宽比(AR)可以高达8:1,并且沟道的开口可能变窄到约20nm。实现无空隙STI填充是重要的,因为在随后的集成步骤中可以对膜进行进一步处理。随后的处理可能会使空隙暴露。在一些示例中,可能无意中用导电材料填充空隙,这可能会导致短路。
致密化过程应该能够实现完全致密化的膜,直到沟道的底部部分,或者直到湿化学品在后续的化学机械抛光(CMP)或其它湿式整合步骤中可能达到的点。
发明内容
一种用于致密化衬底上的介电膜的方法包括:将包括介电膜的衬底布置在衬底处理室中的衬底支撑件上;向所述衬底处理室供应包括氦和氧的气体混合物;将所述衬底处理室中的压强控制为大于或等于预定压强的压强;以及以第一频率将第一功率电平提供给线圈以在所述衬底处理室中产生等离子体。所述线圈围绕所述衬底处理室的外表面的至少一部分布置。所述方法还包括致密化所述介电膜持续预定时间。选择所述压强和所述第一功率电平以防止在致密化所述介电膜期间所述介电膜的溅射。
在其他特征中,所述方法包括在以所述第一频率提供所述第一功率电平的同时,以第二频率将第二功率电平提供给所述线圈。
所述方法包括在以所述第一频率提供所述第一功率电平以及以所述第二频率提供所述第二功率电平的同时,以第三频率向所述衬底支撑件提供第三功率电平。选择所述预定压强、所述第一功率电平、所述第二功率电平和所述第三功率电平以防止在致密化所述介电膜期间所述介电膜的溅射。
在其他特征中,所述预定压强大于或等于40mTorr。所述第一功率电平大于或等于5000W,并且所述第一频率在340kHz至375kHz的范围内。所述第三功率电平小于或等于2000W,并且所述第三频率为13.56MHz。所述第二频率在420kHz至460KHz的范围内。
在其他特征中,所述第一功率电平大于5000W,所述第三功率电平小于或等于2000W,并且所述预定压强大于或等于40mTorr。
在其他特征中,所述第一功率电平介于6000W和8000W之间,所述第二功率电平介于3000W和5000W之间,并且所述第三功率电平小于2000W。在介电膜致密化过程中,所述衬底的温度低于570℃。所述介电膜包括可流动氧化物膜。
一种用于致密化衬底上的介电膜的方法包括:将包括介电膜的衬底布置在衬底处理室中的衬底支撑件上;向所述衬底处理室供应包括氦和氧的气体混合物;将所述衬底处理室中的压强控制为大于或等于40mTorr的压强;以及以第一频率将第一功率电平并以第二频率将第二功率电平提供给线圈,以在所述衬底处理室中产生等离子体。所述线圈围绕所述衬底处理室的外表面布置。所述方法还包括以第三频率向所述衬底支撑件提供第三功率电平;以及致密化所述介电膜持续预定时间。所述第一功率电平大于或等于5000W,所述第二功率电平介于3000W和5000W之间,并且所述第三功率电平小于或等于2000W。
在其他特征中,所述第一频率在340kHz至375kHz的范围内,所述第二频率在420kHz至460kHz的范围内,并且所述第三频率为13.56MHz。所述第一功率电平介于6000W和8000W之间。在致密化所述介电膜期间所述衬底的温度低于570℃。所述介电膜包括可流动氧化物膜。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于致密化衬底上的介电膜的方法,其包括:
将包括介电膜的衬底布置在衬底处理室中的衬底支撑件上;
向所述衬底处理室供应包括氦和氧的气体混合物;
将所述衬底处理室中的压强控制为大于或等于预定压强的压强;
以第一频率将第一功率电平提供给线圈以在所述衬底处理室中产生等离子体,其中所述线圈围绕所述衬底处理室的外表面的至少一部分布置;以及
致密化所述介电膜持续预定时间,
其中选择所述压强和所述第一功率电平以防止在致密化所述介电膜期间所述介电膜的溅射。
2.根据条款1所述的方法,其还包括在以所述第一频率提供所述第一功率电平的同时,以第二频率将第二功率电平提供给所述线圈。
3.根据条款2所述的方法,其还包括在以所述第一频率提供所述第一功率电平以及以所述第二频率提供所述第二功率电平的同时,以第三频率向所述衬底支撑件提供第三功率电平。
4.根据条款3所述的方法,其中,选择所述预定压强、所述第一功率电平、所述第二功率电平和所述第三功率电平以防止在致密化所述介电膜期间所述介电膜的溅射。
5.根据条款1所述的方法,其中所述预定压强大于或等于40mTorr。
6.根据条款1所述的方法,其中所述第一功率电平大于或等于5000W,并且所述第一频率在从340kHz至375kHz的范围内。
7.根据条款3所述的方法,其中所述第三功率电平小于或等于2000W,并且所述第三频率为13.56MHz。
8.根据条款2所述的方法,其中所述第二频率在420kHz至460KHz的范围内。
9.根据条款3所述的方法,其中所述第一功率电平大于5000W,所述第三功率电平小于或等于2000W,并且所述预定压强大于或等于40mTorr。
10.根据条款3所述的方法,其中所述第一功率电平介于6000W和8000W之间,所述第二功率电平介于3000W和5000W之间,并且所述第三功率电平小于2000W。
11.根据条款1所述的方法,其中在致密化所述介电膜期间所述衬底的温度低于570℃。
12.根据条款1所述的方法,其中所述介电膜包括能流动的氧化物膜。
13.一种用于致密化衬底上的介电膜的方法,其包括:
将包括介电膜的衬底布置在衬底处理室中的衬底支撑件上;
向所述衬底处理室供应包括氦和氧的气体混合物;
将所述衬底处理室中的压强控制为大于或等于40mTorr的压强;
以第一频率将第一功率电平并以第二频率将第二功率电平提供给线圈,以在所述衬底处理室中产生等离子体,其中所述线圈围绕所述衬底处理室的外表面布置;
以第三频率向所述衬底支撑件提供第三功率电平;以及
致密化所述介电膜持续预定时间,
其中所述第一功率电平大于或等于5000W,所述第二功率电平介于3000W和5000W之间,并且所述第三功率电平小于或等于2000W。
14.根据条款13所述的方法,其中所述第一频率在340kHz至375kHz的范围内,所述第二频率在420kHz至460kHz的范围内,并且所述第三频率为13.56MHz。
15.根据条款13所述的方法,其中所述第一功率电平介于6000W和8000W之间。
16.根据条款13所述的方法,其中在致密化所述介电膜期间所述衬底的温度低于570℃。
17.根据条款13所述的方法,其中所述介电膜包括能流动的氧化物膜。
根据详细描述、权利要求和附图,本公开的其它应用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并且不旨在限制本公开的范围。
附图说明
从详细描述和附图将更全面地理解本公开,其中:
图1是根据本公开的包括浅沟道隔离特征的衬底的示例的侧视截面图;
图2是根据本公开的在使用可流动氧化物膜填充特征之后图1的衬底的示例的侧视截面图;
图3是根据本公开的在执行致密化方法之后的图2的衬底的示例的侧视截面图;
图4是根据本公开的用于进行可流动氧化物膜的致密化的衬底处理室的示例的功能框图;
图5是示出根据本公开的用于识别可流动氧化物膜的方法的示例的流程图;
图6是溅射速率与提供给线圈的低频功率的函数关系的示例的曲线图;
图7是示出溅射速率与压强的函数关系的示例的曲线图;
图8是示出溅射速率与提供给衬底支撑件的高频功率的函数关系的示例的曲线图;以及
图9示出了湿蚀刻速率比(WERR)与蚀刻厚度的函数关系的示例。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
本公开涉及用于致密化介电膜(例如沉积在特征内的可流动氧化物膜)的系统和方法。在一些示例中,特征包括浅沟道隔离(STI)。在电感耦合等离子体(ICP)室中的等离子体退火期间,等离子体源条件被修改以提供高等离子体源功率,这使得等离子体电压和溅射速率最小化。在一些示例中,使用高室压来减小平均自由程和离子能。使用高等离子体功率和高压使得膜致密化在不溅射的情况下得以发生。
在一些示例中,RF偏置功率被提供给衬底支撑件以增强到介电膜中的穿透深度。RF偏置功率可以与线圈功率以相同的频率提供或与线圈功率以不同的频率提供。在如本文所述的致密化期间,衬底的温度可以保持在低于570℃,这比常规热退火(在约900℃)低。在一些示例中,与非氧化等离子体气体混合物相比,使用包括氦和氧的等离子体气体混合物来加速从膜中除去硅烷醇并改善渗透深度。
本文所述的衬底处理系统通过减小等离子体电压(Vp)和衬底电压(Vw)之间的差异来采用“软”等离子体。提供给衬底的能量等于q*Ni*(Vp-Vw),其中Ni是离子密度,Vp是等离子体电压,q是基于室设计、功率效率等因素设定的校准因子,而Vw是晶片电压。如果(Vp-Vw)高于溅射阈值(约20V),则发生溅射。高离子通量(增加Ni)降低(Vp-Vw)并产生“软”等离子体。
现在参考图1-3,STI特征填充有介电膜,并且介电膜被致密化。在图1中,衬底50包括具有侧壁54和底部56的STI特征52。在图2中,在STI特征52中沉积诸如可流动氧化物膜之类的介电膜58。在图3中,对介电膜进行致密化处理以产生致密的介电膜58'。
现在参考图4,衬底处理室100可用于执行上文和下文所述的致密化。虽然示出了特定的室,但是可以使用其它电感耦合等离子体(ICP)室。衬底处理室100包括半球形圆顶104和用于支撑衬底114的衬底支撑件112。虽然示出了半球形圆顶104,但是衬底处理室100可以具有包括椭圆形、平顶等的其他形状。在一些示例中,衬底支撑件112包括静电卡盘(ESC),但是也可以使用其它衬底支撑件。
线圈116围绕半球形圆顶104的外表面布置。一个或多个上气体注射器120布置在半球形圆顶104的上部中,以沿向下和/或向外的方向注射工艺气体混合物。一个或多个下气体注射器124布置在半球形圆顶104的下部中,以沿相对于半球形圆顶104和衬底114向上和/或向内的方向注射工艺气体混合物。仅作为示例,下气体注射器124可以包括围绕半球形圆顶104的下周边均匀间隔开的36个气体注射器。
气体输送系统130将工艺气体混合物供应到上气体注射器120和下气体注射器124。气体输送系统130可以包括一个或多个气体源、质量流量控制器、阀和/或歧管(未示出)。RF偏置电路134向线圈116提供RF功率以在半球形圆顶104内产生等离子体118。衬底支撑偏置电路136向衬底支撑件112提供RF功率。衬底支撑件温度控制电路138控制衬底支撑件112在衬底处理期间的温度。衬底温度控制电路138可以包括:用于将加热和/或冷却流体输送到衬底支撑件112中的流动通道的系统、电阻加热器和/或用于控制衬底支撑件112的温度的其它器件。
提供阀144和泵146以从处理室排出反应物。在一些示例中,泵146包括变速泵。在一些示例中,泵146包括涡轮泵。改变泵146的速度以设定衬底处理室100内的压强。可以使用开环或闭环反馈来控制衬底处理室100内部的压强。
如果使用闭环反馈,则可以使用压强传感器148来测量衬底处理室100内部的压强。控制器140可与变速泵146连通,以基于测得的压强来控制衬底处理室100内部的压强。如果使用开环反馈,则使用泵146的速度来控制衬底处理室100内部的压强。较低的泵速度对应于较高的压强,而较高的泵速度对应于较低的压强。此外,控制器140可以用于控制来自气体输送系统130的工艺气体混合物的定时和输送,并且控制提供给线圈116和衬底支撑件112的功率。
虽然下文描述了操作参数和气体混合物的具体示例,但也可以使用其它操作参数和气体混合物。在一些示例中,等离子体气体混合物包括氦(He)和氧(O)。在一些示例中,可以使用分子氧(O2)、二氧化碳(CO2)、一氧化二氮(N2O)、一氧化氮(NO)、臭氧(O3)、水(蒸汽)(H2O)、过氧化氢(H2O2)或其他合适的含氧气体来供应氧。
例如,当使用H2和O2气体时,He和O2产生不同波长的VUV。He的VUV发射为~58nm,O2的VUV发射为~130nm。其他工艺气体可以包括在~105nm和~107nm下的Ar,在~120nm下的分子氮(N2)和在~121nm下的分子氢(H2)。相对较低的衬底支撑件偏置避免了由于溅射造成的膜损坏。
在一些例子中,以720sccm供给He,并以500sccm提供O2。底座布置在距下气体注射器124的注射位置(其大致对应于等离子体的较低位置)约1.2”处。线圈116被RF功率激励。
在一些示例中,以在340-460Hz之间的范围内的单个频率并以大于10kW的功率电平向线圈116供应RF功率。在一些示例中,可以以两个或两个以上不同的频率向线圈116供应RF功率。例如,可以使用低频(LF)和中频(MF)。在一些示例中,衬底支撑件被RF功率以高频(HF)偏置。在一些示例中,LF功率具有在从340至375kHz范围内的频率,并且MF功率具有从420至460kHz的频率,但也可以使用其它频率。在一些示例中,HF功率具有13.56MHz的频率,但也可以使用其他频率。
在第一个示例中,将室内的压强保持在50mTorr,LF功率为7000W,MF功率为4000W,HF功率为0W,并且处理周期为300秒,但也可以使用其他功率电平、压强和时间。在致密化过程中,衬底温度约为520℃。
在第二个示例中,将室内的压强保持在50mTorr,LF功率为7000W,MF功率为4000W,HF功率为2000W,并且处理周期为600秒,但也可以使用其他功率电平、压强和时间。在致密化过程中,衬底温度约为536℃。
在其他示例中,LF功率大于或等于5000W,HF功率小于或等于2000W,并且室压强大于或等于40mTorr。在其他示例中,LF功率在6000W和8000W之间的范围内,MF功率电平在3000W和5000W之间的范围内,并且HF功率电平小于或等于2000W。
现在参考图5,示出了用于致密化STI特征中的介电膜的方法200。在204处,将衬底布置在处理室中。衬底包括填充有诸如可流动氧化物膜之类的介电膜的特征。在208处,将室压强保持在大于或等于40mTorr的预定值。在212处,向处理室供应气体混合物。气体混合物包括氧和氦(He)。在216,向线圈供应功率(包括LF和MF功率)。在220处,向衬底支撑件供应HF功率。在224,控制确定致密化周期是否结束。如果224为假,则该方法返回到208。否则,该方法结束。
现在参见图6-9,溅射速率被显示为各种输入参数(例如,LF功率、室压强和HF功率)的函数。在图6中,溅射速率显示为LF功率的函数,同时将HF功率保持在4kW,并且将室压强保持在5mTorr。可以看出,在大约5000W的LF功率下,溅射速率显著下降。在图7中,溅射速率被显示为室压强的函数,同时将HF功率保持在0W,并将LF功率维持在11kW。可以看出,在大于约40mTorr的压强下,溅射速率显著下降。在图8中,溅射速率被显示为HF功率的函数。可以看出,在HF功率电平高于2000W时溅射速率开始增大。
现在参考图9,对于厚度小于或等于可以使用上述第一示例性参数(无衬底支撑件偏置)实现小于3的湿蚀刻速率比(WERR)。对于厚度为可以使用上述的第二示例性参数实现小于2的WERR。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其它实施方式中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,任何工艺包括工艺气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器或系统的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (10)

1.一种用于致密化衬底上的介电膜的方法,其包括:
将包括介电膜的衬底布置在衬底处理室中的衬底支撑件上;
向所述衬底处理室供应包括氦和氧的气体混合物;
将所述衬底处理室中的压强控制为大于或等于预定压强的压强;
以第一频率将第一功率电平提供给线圈以在所述衬底处理室中产生等离子体,其中所述线圈围绕所述衬底处理室的外表面的至少一部分布置;以及
致密化所述介电膜持续预定时间,
其中选择所述压强和所述第一功率电平以防止在致密化所述介电膜期间所述介电膜的溅射。
2.根据权利要求1所述的方法,其还包括在以所述第一频率提供所述第一功率电平的同时,以第二频率将第二功率电平提供给所述线圈。
3.根据权利要求2所述的方法,其还包括在以所述第一频率提供所述第一功率电平以及以所述第二频率提供所述第二功率电平的同时,以第三频率向所述衬底支撑件提供第三功率电平。
4.根据权利要求3所述的方法,其中,选择所述预定压强、所述第一功率电平、所述第二功率电平和所述第三功率电平以防止在致密化所述介电膜期间所述介电膜的溅射。
5.根据权利要求1所述的方法,其中所述预定压强大于或等于40mTorr。
6.根据权利要求1所述的方法,其中所述第一功率电平大于或等于5000W,并且所述第一频率在从340kHz至375kHz的范围内。
7.根据权利要求3所述的方法,其中所述第三功率电平小于或等于2000W,并且所述第三频率为13.56MHz。
8.根据权利要求2所述的方法,其中所述第二频率在420kHz至460KHz的范围内。
9.根据权利要求3所述的方法,其中所述第一功率电平大于5000W,所述第三功率电平小于或等于2000W,并且所述预定压强大于或等于40mTorr。
10.根据权利要求3所述的方法,其中所述第一功率电平介于6000W和8000W之间,所述第二功率电平介于3000W和5000W之间,并且所述第三功率电平小于2000W。
CN201710305801.2A 2016-05-05 2017-05-03 使用电感耦合高密度等离子体进行介电膜的致密化 Pending CN107452671A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/147,368 US9741584B1 (en) 2016-05-05 2016-05-05 Densification of dielectric film using inductively coupled high density plasma
US15/147,368 2016-05-05

Publications (1)

Publication Number Publication Date
CN107452671A true CN107452671A (zh) 2017-12-08

Family

ID=59581508

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710305801.2A Pending CN107452671A (zh) 2016-05-05 2017-05-03 使用电感耦合高密度等离子体进行介电膜的致密化

Country Status (4)

Country Link
US (1) US9741584B1 (zh)
KR (1) KR102297695B1 (zh)
CN (1) CN107452671A (zh)
TW (1) TWI747899B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190345608A1 (en) * 2018-05-08 2019-11-14 Lam Research Corporation Method of providing a plasma atomic layer deposition
TW202221764A (zh) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 集成可流動低k間隙填充及電漿處理
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
JP2022098040A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998028465A1 (en) * 1996-12-23 1998-07-02 Lam Research Corporation Inductively coupled plasma cvd
WO1999054521A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US5997699A (en) * 1996-04-08 1999-12-07 Micron Technology Inc. Insitu faceting during deposition
US6100205A (en) * 1997-04-02 2000-08-08 United Microelectronics Corp. Intermetal dielectric layer formation with low dielectric constant using high density plasma chemical vapor deposition process
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
TW509982B (en) * 1998-10-13 2002-11-11 Applied Materials Inc Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US20030109134A1 (en) * 2001-12-12 2003-06-12 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device and method of determining film formation time, chamber, chemical vapor deposition apparatus and boat thereof, etching apparatus, and film formation process system
JP2004068143A (ja) * 2002-06-10 2004-03-04 Konica Minolta Holdings Inc 薄膜形成方法並びに該薄膜形成方法により薄膜が形成された基材
US20040219789A1 (en) * 2003-02-14 2004-11-04 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US20050095872A1 (en) * 2003-10-31 2005-05-05 International Business Machines Corporation Hdp process for high aspect ratio gap filling
TWI241649B (en) * 2000-05-03 2005-10-11 Applied Materials Inc Multiple frequency plasma chamber with grounding capacitor at cathode
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US20060178003A1 (en) * 2005-02-10 2006-08-10 Applied Materials, Inc. Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US20070210366A1 (en) * 2006-03-07 2007-09-13 Micron Technology, Inc. Trench isolation implantation
US20070218623A1 (en) * 2006-03-09 2007-09-20 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7297608B1 (en) * 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7629227B1 (en) * 2006-11-01 2009-12-08 Novellus Systems, Inc. CVD flowable gap fill
JP2010010231A (ja) * 2008-06-25 2010-01-14 Hitachi High-Technologies Corp プラズマ処理装置
JP2010111892A (ja) * 2008-11-04 2010-05-20 Ulvac Japan Ltd スパッタリング装置及びスパッタリング方法
US20100193898A1 (en) * 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
CN102157369A (zh) * 2006-11-21 2011-08-17 朗姆研究公司 在基板上的电介质层中刻蚀特征的方法
WO2012033191A1 (ja) * 2010-09-10 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
TW201411721A (zh) * 2012-04-30 2014-03-16 Applied Materials Inc 用於流動性膜之經改良的緻密化作用
US20140264641A1 (en) * 2013-03-14 2014-09-18 Globalfoundries Inc. Semiconductor device comprising contact structures with protection layers formed on sidewalls of contact etch stop layers
US20150118863A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
TW201533850A (zh) * 2014-02-18 2015-09-01 Applied Materials Inc 高深寬比結構中具有改良階梯覆蓋之密閉性cvd蓋
CN104928654A (zh) * 2014-02-26 2015-09-23 朗姆研究公司 用于无缝特征填充的抑制剂等离子体介导的原子层沉积
CN105390437A (zh) * 2014-08-20 2016-03-09 朗姆研究公司 用于选择性超低k孔密封的可流动电介质

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US20040137757A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8652298B2 (en) * 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
JP6173086B2 (ja) * 2013-07-19 2017-08-02 キヤノン株式会社 シリコン基板のエッチング方法
US9464352B2 (en) * 2014-05-02 2016-10-11 Asm Ip Holding B.V. Low-oxidation plasma-assisted process

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5997699A (en) * 1996-04-08 1999-12-07 Micron Technology Inc. Insitu faceting during deposition
WO1998028465A1 (en) * 1996-12-23 1998-07-02 Lam Research Corporation Inductively coupled plasma cvd
TW432493B (en) * 1996-12-23 2001-05-01 Lam Res Corp Inductively coupled plasma CVD
US6100205A (en) * 1997-04-02 2000-08-08 United Microelectronics Corp. Intermetal dielectric layer formation with low dielectric constant using high density plasma chemical vapor deposition process
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
WO1999054521A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
TW509982B (en) * 1998-10-13 2002-11-11 Applied Materials Inc Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
TWI241649B (en) * 2000-05-03 2005-10-11 Applied Materials Inc Multiple frequency plasma chamber with grounding capacitor at cathode
US20030109134A1 (en) * 2001-12-12 2003-06-12 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device and method of determining film formation time, chamber, chemical vapor deposition apparatus and boat thereof, etching apparatus, and film formation process system
JP2004068143A (ja) * 2002-06-10 2004-03-04 Konica Minolta Holdings Inc 薄膜形成方法並びに該薄膜形成方法により薄膜が形成された基材
US20040219789A1 (en) * 2003-02-14 2004-11-04 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US20050095872A1 (en) * 2003-10-31 2005-05-05 International Business Machines Corporation Hdp process for high aspect ratio gap filling
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7297608B1 (en) * 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US20060178003A1 (en) * 2005-02-10 2006-08-10 Applied Materials, Inc. Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US20070210366A1 (en) * 2006-03-07 2007-09-13 Micron Technology, Inc. Trench isolation implantation
US20070218623A1 (en) * 2006-03-09 2007-09-20 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7629227B1 (en) * 2006-11-01 2009-12-08 Novellus Systems, Inc. CVD flowable gap fill
CN102157369A (zh) * 2006-11-21 2011-08-17 朗姆研究公司 在基板上的电介质层中刻蚀特征的方法
JP2010010231A (ja) * 2008-06-25 2010-01-14 Hitachi High-Technologies Corp プラズマ処理装置
JP2010111892A (ja) * 2008-11-04 2010-05-20 Ulvac Japan Ltd スパッタリング装置及びスパッタリング方法
US20100193898A1 (en) * 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
WO2012033191A1 (ja) * 2010-09-10 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
TW201411721A (zh) * 2012-04-30 2014-03-16 Applied Materials Inc 用於流動性膜之經改良的緻密化作用
US20140264641A1 (en) * 2013-03-14 2014-09-18 Globalfoundries Inc. Semiconductor device comprising contact structures with protection layers formed on sidewalls of contact etch stop layers
US20150118863A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
TW201533850A (zh) * 2014-02-18 2015-09-01 Applied Materials Inc 高深寬比結構中具有改良階梯覆蓋之密閉性cvd蓋
CN104928654A (zh) * 2014-02-26 2015-09-23 朗姆研究公司 用于无缝特征填充的抑制剂等离子体介导的原子层沉积
CN105390437A (zh) * 2014-08-20 2016-03-09 朗姆研究公司 用于选择性超低k孔密封的可流动电介质

Also Published As

Publication number Publication date
KR20170125712A (ko) 2017-11-15
TWI747899B (zh) 2021-12-01
TW201802943A (zh) 2018-01-16
US9741584B1 (en) 2017-08-22
KR102297695B1 (ko) 2021-09-03

Similar Documents

Publication Publication Date Title
CN107452671A (zh) 使用电感耦合高密度等离子体进行介电膜的致密化
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
JP7027050B2 (ja) ギャップ充填時の蒸着およびエッチングのための装置および方法
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
TWI439562B (zh) 金屬硬罩幕、其製造方法及氮化鈦硬罩幕之製造方法
CN106601612A (zh) 用于超高选择性的氮化物蚀刻的系统和方法
KR101893471B1 (ko) 멀티존 플라즈마 생성을 위한 방법 및 장치
TW202038380A (zh) 用於隔離結構的伸縮襯裡層
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
CN107039265A (zh) 硬掩膜的自限性平坦化
US11114306B2 (en) Methods for depositing dielectric material
US11594422B2 (en) Film etching method for etching film
CN101523576A (zh) 等离子体氧化处理方法、等离子体处理装置和存储介质
KR20230117475A (ko) 원자 층 제어를 사용한 막의 등방성 에칭
CN108630578A (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
CN107045999A (zh) 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN107086178A (zh) 用于选择性蚀刻膜的系统和方法
TW201810395A (zh) 用以蝕刻複合三維結構之壓力排淨蝕刻方法
KR20200090099A (ko) 다공질막을 에칭하는 방법
KR102364188B1 (ko) 에칭 방법
WO2019241060A1 (en) Efficient cleaning and etching of high aspect ratio structures
JP6666601B2 (ja) 多孔質膜をエッチングする方法
KR20210080215A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
JP2017112183A (ja) 絶縁膜積層体の製造方法
TW202139389A (zh) 用於可流動間隙填充膜的多步驟處理

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination