JP7027050B2 - ギャップ充填時の蒸着およびエッチングのための装置および方法 - Google Patents

ギャップ充填時の蒸着およびエッチングのための装置および方法 Download PDF

Info

Publication number
JP7027050B2
JP7027050B2 JP2017122828A JP2017122828A JP7027050B2 JP 7027050 B2 JP7027050 B2 JP 7027050B2 JP 2017122828 A JP2017122828 A JP 2017122828A JP 2017122828 A JP2017122828 A JP 2017122828A JP 7027050 B2 JP7027050 B2 JP 7027050B2
Authority
JP
Japan
Prior art keywords
pedestal
vapor deposition
generator
shower head
lfrf
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017122828A
Other languages
English (en)
Other versions
JP2018011050A (ja
Inventor
アクヒル・シンガル
クリーンプット パトリック・エー.・バン
マーティン・イー.・フリーボーン
シュラベンディック バート・ジェイ.・バン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018011050A publication Critical patent/JP2018011050A/ja
Priority to JP2021185396A priority Critical patent/JP7410106B2/ja
Application granted granted Critical
Publication of JP7027050B2 publication Critical patent/JP7027050B2/ja
Priority to JP2023215357A priority patent/JP2024029060A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Description

集積回路の製造は、多くの様々な処理工程を含む。頻繁に利用される動作の1つは、半導体ウエハの上または中にパターニングされたフィーチャ間のギャップ内への誘電体膜の蒸着である。かかる材料を蒸着する際の目標の1つは、ギャップ内にボイドもシームのない充填を形成することである。
高密度プラズマ(HDP)、準常圧化学蒸着(SACVD)、および、低圧化学蒸着(LPCVD)などの蒸着方法がギャップ充填に利用されてきたが、これらの方法は、所望の充填能力および共形性を達成しない。流動性化学蒸着およびスピンオン誘電体(SOD)法は、所望の充填を達成できるが、非常に多孔質な膜を蒸着する傾向がある。さらに、これらの方法は、多くの余分な処理工程を必要とするので、特に、複雑で統合にコストが掛かる。原子層蒸着(ALD)処理も、共形性改善のためにギャップ充填に用いられてきたが、これらの処理には、特に大きいギャップに対して、処理時間が長く、スループットが低いという問題がある。さらに、ALD処理の共形の性質は、ギャップのアスペクト比が連続的なサイクルと共に増大することを意味する。したがって、ギャップの上部が、底部よりも迅速に充填されて、前駆体材料のギャップ内へのさらなる拡散を防ぎうる。ボイドが高アスペクト比のギャップの中央に形成されうるように、領域が拡大しうる。
一部の例では、後続の蒸着動作の合間に異なるエッチング動作を必要とする蒸着-エッチング-蒸着処理など、複数工程の蒸着処理が用いられる。エッチングは、ギャップにおけるボイド形成を修復または防止するために行われうる。具体的には、エッチング工程は、垂直スロープではなく順テーパ状のスロープ上に次の層を蒸着することによってギャップ充填が起こりうるように、テーパ状の正スローププロファイルを形成する異方性エッチングでありうる。これは、ギャップにおけるボイド形成の発生を最小化しうる。ボイドは、高い抵抗、汚染、充填された材料の喪失、および、それ以外の集積回路の性能低下につながりうる。
本開示は、蒸着処理およびエッチング処理を実行するための統合装置に関する。統合装置は、処理チャンバを備え、処理チャンバは、シャワーヘッドおよびペデスタルを備える。統合装置は、さらに、低周波数ラジオ波(LFRF)発生器と、高周波数ラジオ波(HFRF)発生器と、LFRF発生器およびHFRF発生器の一方または両方に動作可能に接続された1または複数のスイッチとを備える。1または複数のスイッチは、(1)蒸着処理を実行するための蒸着モード(この時、蒸着モードの1または複数のスイッチは、少なくともHFRF発生器をシャワーヘッドに接続する)と、(2)エッチング処理を実行するためのエッチングモード(この時、エッチングモードの1または複数のスイッチは、HFRF発生器およびLFRF発生器をペデスタルに接続して、シャワーヘッドを接地する)との間で切り替えを行うよう構成されている。
いくつかの実施例において、処理チャンバは、容量結合プラズマ(CCP)リアクタであり、シャワーヘッドは上側電極を備え、ペデスタルは下側電極を備える。いくつかの実施例において、蒸着モードの1または複数のスイッチは、HFRF発生器およびLFRF発生器をシャワーヘッドに接続して、ペデスタルを接地する。いくつかの実施例において、1または複数のスイッチは、蒸着モードでLFRF発生器およびHFRF発生器をシャワーヘッドに電気接続するよう構成された第1ステーションリレースイッチと、エッチングモードでLFRF発生器およびHFRF発生器をペデスタルに電気接続するよう構成された第2ステーションリレースイッチとを含む。いくつかの実施例において、第1ステーションリレースイッチは、LFRF発生器およびHFRF発生器をシャワーヘッドに電気接続するための第1位置に切り替わると共に、シャワーヘッドを接地するための第2位置に切り替わるよう構成され、第2ステーションリレースイッチは、LFRF発生器およびHFRF発生器をペデスタルに電気接続するための第1位置に切り替わると共に、ペデスタルを接地するための第2位置に切り替わるよう構成され、第1ステーションリレースイッチの第1位置は、第2ステーションリレースイッチの第2位置と同期され、第2ステーションリレースイッチの第1位置は、第1ステーションリレースイッチの第2位置と同期される。いくつかの実施例において、LFRF発生器は、第1集積回路ボードの一部であり、HFRF発生器は、第2集積回路ボードの一部である。いくつかの実施例において、1または複数のスイッチは、HFRF発生器に動作可能に接続され、蒸着モードでHFRF発生器からシャワーヘッドへの電力の供給と、エッチングモードでのHFRF発生器からペデスタルへの電力の供給との間で切り替えを行うよう構成されたスイッチを含む。
また、本開示は、蒸着処理およびエッチング処理を実行するための統合装置に関する。統合装置は、処理チャンバを備え、処理チャンバは、シャワーヘッドおよびペデスタルを備える。統合装置は、さらに、集積回路ボードを備え、集積回路ボードは、1または複数のHF/LFRF発生器を備える。統合装置は、さらに、1または複数のHF/LFRF発生器に動作可能に接続された1または複数のスイッチを備え、1または複数のスイッチは、(1)蒸着処理を実行するための蒸着モード(この時、蒸着モードの1または複数のスイッチは、HF/LFRF発生器の少なくとも1つをシャワーヘッドに接続する)と、(2)エッチング処理を実行するためのエッチングモード(この時、エッチングモードの1または複数のスイッチは、HF/LFRF発生器の少なくとも1つをペデスタルに接続する)との間で切り替えを行うよう構成されている。
いくつかの実施例において、処理チャンバは、CCPリアクタであり、シャワーヘッドは上側電極を備え、ペデスタルは下側電極を備える。いくつかの実施例において、集積回路ボードは、1つのHF/LFRF発生器を備える。いくつかの実施例において、1または複数のスイッチは、蒸着モードでHF/LFRF発生器の1つをシャワーヘッドに電気接続するよう構成された第1ステーションリレースイッチと、エッチングモードでHF/LFRF発生器の1つをペデスタルに電気接続するよう構成された第2ステーションリレースイッチとを含む。いくつかの実施例において、第1ステーションリレースイッチは、蒸着モードでHF/LFRF発生器の1つをシャワーヘッドに電気接続するための第1位置に切り替わると共に、シャワーヘッドを接地するための第2位置に切り替わるよう構成され、第2ステーションリレースイッチは、エッチングモードで前記HF/LFRF発生器の1つをペデスタルに電気接続するための第1位置に切り替わると共に、ペデスタルを接地するための第2位置に切り替わるよう構成され、第1ステーションリレースイッチの第1位置は、第2ステーションリレースイッチの第2位置と同期され、第2ステーションリレースイッチの第1位置は、第1ステーションリレースイッチの第2位置と同期される。いくつかの実施例において、1または複数のスイッチは、さらに、HF/LFRF発生器の1つがシャワーヘッドに動作可能に接続された時に蒸着モードでペデスタルを接地するためのペデスタル接地リレースイッチと、HF/LFRF発生器の1つがペデスタルに動作可能に接続された時にエッチングモードでシャワーヘッドを接地するためのシャワーヘッド接地リレースイッチとを含む。
また、本開示は、ウエハ内の1または複数のギャップを充填する方法に関する。方法は:約5:1より大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有するウエハをプラズマ処理チャンバ内のペデスタル上に準備する工程と;プラズマ処理チャンバ内で、ALDを用いて1または複数のギャップ内に第1誘電体層を蒸着する工程と;プラズマ処理チャンバ内で、第1誘電体層をスロープ制御して異方性エッチングする工程と;プラズマ処理チャンバ内で、ALDを用いて第1誘電体層の上の1または複数のギャップ内に第2誘電体層を蒸着する工程とを備える。
いくつかの実施例において、第1誘電体層を蒸着する間、第1誘電体層をスロープ制御して異方性エッチングする間、および、第2誘電体層を蒸着する間のウエハ温度は、約80℃~約400℃の間である。いくつかの実施例において、第1誘電体層を蒸着する間、第1誘電体層をスロープ制御して異方性エッチングする間、および、第2誘電体層を蒸着する間の圧力は、約0.3~約1.0Torrの間である。いくつかの実施例において、方法は、さらに、第1誘電体層をスロープ制御して異方性エッチングする前に、低周波数電力および高周波数電力をプラズマ処理チャンバ内のペデスタルに印加すると共にプラズマ処理チャンバ内のシャワーヘッドを接地するように切り替えを行う工程と、第2誘電体層を蒸着する前に、高周波数電力を前記プラズマ処理チャンバ内のシャワーヘッドに印加すると共にプラズマ処理チャンバ内のペデスタルを接地するように切り替えを行う工程とを備える。
これらの実施形態および他の実施形態について、図面を参照しつつ以下でさらに説明する。
蒸着-エッチング-蒸着ギャップ充填処理のいくつかの段階の1つの段階のギャップを備えたウエハの例を示す断面図。 蒸着-エッチング-蒸着ギャップ充填処理のいくつかの段階の1つの段階のギャップを備えたウエハの例を示す断面図。 蒸着-エッチング-蒸着ギャップ充填処理のいくつかの段階の1つの段階のギャップを備えたウエハの例を示す断面図。
従来の蒸着-エッチング-蒸着ギャップ充填処理で蒸着処理を実行するための装置の一例を示す概略図。
マルチステーション処理ツールの一例を示す概略図。
蒸着処理を行うための容量結合プラズマ(CCP)リアクタを備えた装置の一例を示す概略図。
エッチング処理を行うためのCCPリアクタを備えた装置の一例を示す概略図。
いくつかの実施例に従って、蒸着モードとエッチングモードとの間で切り替わるよう構成されたプラズマ処理チャンバを備えた統合装置の一例を示す概略図。
いくつかの実施例に従って、蒸着モードとエッチングモードとの間の切り替えを実行するためのスキームの一例を示すブロック図。
いくつかの実施例に従って、蒸着モードとエッチングモードとの間の切り替えを実行するためのスキームの別の例を示すブロック図。
いくつかの実施例に従って、蒸着モードとエッチングモードとの間で切り替わるよう構成されたプラズマ処理チャンバを備えた統合装置の一例を示す概略図。
蒸着-エッチング-蒸着ギャップ充填処理を実行するための従来のマルチステーション処理ツールの一例を示す概略図。
蒸着-エッチング-蒸着ギャップ充填処理を実行するための開示した統合装置を含むマルチステーション処理ツールの一例を示す概略図。
蒸着-エッチング-蒸着ギャップ充填処理をウエハに実行するための処理フローの一例を示すフローチャート。
はじめに:
以下の説明では、提示した概念の完全な理解を促すために、数多くの具体的な詳細事項が示されている。提示された概念は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、記載した概念が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。いくつかの概念が、具体的な実施形態との関連で説明されているが、これらの実施形態は限定を意図していないことを理解されたい。
本願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および、「製造途中の集積回路」という用語が、交換可能に用いられている。当業者であれば、「製造途中の集積回路」という用語は、集積回路加工の多くの段階の内のいずれかの途中のシリコンウエハを指しうることがわかる。半導体デバイス産業で用いられるウエハまたは基板は、通例、200mm、または、300mm、または、450mmの直径を有する。以下の詳細な説明では、本発明がウエハに実施されることを仮定している。ただし、本発明は、それに限定されない。ワークピースは、様々な形状、サイズ、および、材料を有してよい。半導体ウエハに加えて、本発明を利用しうるその他のワークピースは、プリント回路基板、磁気記録媒体、磁気記録センサ、鏡、光学素子、微小機械素子など、様々な物品を含む。
半導体業界において回路密度が大きくなるにつれ、ウエハ内のギャップまたはトレンチの幅が小さくなることにより、それらのアスペクト比が高くなり、ボイドを残すことなしにギャップまたはトレンチを充填することが、次第に困難になっている。ギャップが完全に充填されない場合のボイドの形成は、完成したデバイスの動作に悪影響を与えうる。
蒸着-エッチング-蒸着シーケンスが、ギャップ充填におけるボイドの存在を修復または排除するために用いられる。蒸着-エッチング-蒸着シーケンスで用いられる一般的な蒸着技術は、ALD、CVD、プラズマCVD、および、HDP-CVDである。蒸着工程後に、HDP応用例におけるスパッタエッチングまたはALD応用例における反応性イオンエッチング(RIE)など、エッチング工程が続いてよい。エッチング工程は、テーパ状の正スローププロファイルを形成する異方性エッチング工程であってよい。結果として、ギャップ内部よりも多くの材料がギャップ開口部付近で除去されうる。
図1A~図1Cは、蒸着-エッチング-蒸着ギャップ充填処理の様々な段階のギャップを備えたウエハの例を示す断面図である。図1Aは、ギャップ102を含む非平坦なウエハ100の断面図を示す。ギャップ幅は、様々な実施形態に従って様々でありえ、約5Å~約50μmの範囲であってよい。深さ対幅のアスペクト比は、約2:1よりも大きい、約5:1よりも大きい、約10:1よりも大きい、または、約30:1より大きくてよい。ギャップ102は、ALD、CVD、プラズマCVD、および、HDP-CVDなど、任意の適切な蒸着技術を用いて、薄膜104で被覆されうる。いくつかの実施形態において、薄膜104は、ギャップ102と共形またはほぼ共形でありうる。図1Aに示すように、薄膜104は、ギャップ102の上部付近にリエントラント部分106を備える。
図1Bでは、異方性エッチングが薄膜104に施される。薄膜104のリエントラント部分106は、薄膜104の上側領域104aが下側領域104bよりも薄くなるように、異方性エッチングによって選択的に除去されうる。例えば、異方性エッチングは、活性エッチング種に物質移動制限および/または寿命制限を課すことによって達成されてよい。いくつかの実施例において、ギャップ102の上部での選択的エッチングは、ギャップ102の側壁角度も調節することができ、その結果、ギャップ102は、底部よりも上部で広くなる。これは、さらに、後続の蒸着段階でのブレッドローフィング効果を低減しうる。
図1Cでは、次の蒸着工程が、ギャップ102を充填またはほぼ充填するために施される。いくつかの実施例において、ギャップ102は、複数回の蒸着-エッチング-蒸着シーケンスの後に充填されうる。ギャップ102は、ボイドなしでありうる。ギャップ102は、ALD、CVD、プラズマCVD、HDP-CVDなど、任意の適切な蒸着技術を用いて充填されうる。
一般的なギャップ充填処理は、HDP-CVDシステムを利用しうる。HDP-CVDシステムは、標準的なCCP-CVDシステムの密度よりも少なくとも約2桁大きい密度を有しうるプラズマを形成する。HDP-CVDシステムは、通例、誘導結合プラズマ(ICP)システムである。蒸着およびエッチングを達成するためのICPリアクタを備えたHDP-CVDシステムの一例は、カリフォルニア州フレモントのラムリサーチ社製の Speed(商標)システムである。一部のHDP-CVD技術は、膜蒸着と同時に起こりうる高密度のプラズマによるスパッタリングを促進する。結果として、HDP蒸着処理のスパッタリング成分が、特定のフィーチャ(角または隆起面など)の蒸着を遅くするので、蒸着およびエッチングが同時に起き、それにより、ギャップ充填の改善に寄与すると言える。しかしながら、かかるHDP-CVD技術におけるスパッタリングは、ギャップの側壁への材料の望ましくない再蒸着につながりうる。一部のHDP-CVD技術は、別個の蒸着およびエッチング工程を利用しうる。エッチング工程中、材料は、異方性スパッタエッチングによって非共形的に除去されうる。角の材料は、ギャップの側壁に沿った短い距離にわたって除去されうる。しかしながら、かかる異方性スパッタエッチングは、ギャップ充填を妨げうる再蒸着カスプ(先端)を生じうる。HDP-CVD技術は単一のチャンバまたは装置内でギャップ充填処理を実行しうるが、HDP-CVD技術で蒸着された膜は、共形ではなく、実際には、単一のチャンバまたは装置内で実行される蒸着-エッチング-蒸着シーケンスの適用を制限しうる。
ギャップ充填の実行におけるHDP-CVD技術の制限を考えると、共形性を改善するために、ALD処理が用いられてもよい。CVD処理と対照的に、ALD処理は、表面介在蒸着反応を用いて、層ごとに膜を蒸着する。いくつかの実施例において、ALD処理は、CCPシステム(図2に示すCCPシステムなど)内で実行されてよい。CCPシステムは、プラズマを生成するための高周波数RF電力を供給できてよい。かかるCCPシステムの一例は、カリフォルニア州フレモントのラムリサーチ社製 のVector(商標)システムである。
図2は、従来の蒸着-エッチング-蒸着ギャップ充填処理で蒸着処理を実行するための装置の一例を示す概略図である。図2に示すように、装置200は、装置200の他の構成要素を収容すると共にプラズマを含むように機能する処理チャンバ224を備える。処理チャンバ224は、処理ガスを処理チャンバ224へ供給するためのシャワーヘッド214を備える。高周波数ラジオ波(HFRF)発生器204が、シャワーヘッド214に接続されたインピーダンス整合回路網206に接続されてよい。いくつかの実施例では、低周波数ラジオ波(LFRF)発生器202が、シャワーヘッド214に接続するインピーダンス整合回路網206に接続されてもよい。インピーダンス整合回路網306によって供給される電力および周波数は、処理ガスからプラズマを生成するのに十分である。典型的な処理では、HFRF発生器204によって生成される周波数は、13.56MHzまたは27MHzなど、約2~60MHzの間である。LFRF発生器202によって生成される周波数は、350kHzまたは400kHzなど、約250~400kHzの間である。
処理チャンバ224は、さらに、ウエハ支持体すなわちペデスタル218を備える。ペデスタル218は、ウエハ216を支持できる。ペデスタル218は、処理の間および合間にウエハ216を保持するためのチャック、フォーク、および/または、リフトピンを備えうる。いくつかの実施例において、チャックは、静電チャックであってよい。
処理ガスが、流入口212を介して導入される。1または複数のソースガスライン210が、マニホルド208に接続されてよい。処理ガスは、予混合されてもされなくてもよい。蒸着、エッチング、および、その他のプラズマ処理動作中に正しいガスが供給されることを保証するために、適切なバルブ操作およびマスフロー制御メカニズムが利用される。処理ガスは、流出口222を介して処理チャンバ224を出てよい。通例は、真空ポンプ226が、処理ガスを引き出し、処理チャンバ224内の適切な低圧を維持しうる。
図2に示すように、装置200は、シャワーヘッド214が接地ブロック220と協働する電極であるコンデンサタイプのシステムである。換言すると、装置200は、CCPシステムであり、処理チャンバ224の上部すなわちシャワーヘッド214に高周波数RF電力を供給できてよい。処理チャンバ224の底部すなわちペデスタル218およびブロック220は、接地される。
蒸着-エッチング-蒸着シーケンスを実行するための1または複数の装置(装置200など)は、マルチステーション処理ツール内に実装されてよい。図3は、マルチステーション処理ツールの一例を示す概略図である。マルチステーション処理ツール300は、入口ロードロック302および出口ロードロック304を備えてよく、ロードロックの一方または両方が、プラズマ源を備えてよい。大気圧下にあるロボット306が、ポッド308を通してロードされたカセットから大気ポート310を介して入口ロードロック302内にウエハを移動させるよう構成されている。ウエハがロボット306によって入口ロードロック302内のペデスタル312上に載置され、大気ポート310が閉じられ、ロードロック302がポンプ排気される。入口ロードロック302がプラズマ源を備える場合、ウエハは、処理チャンバ314に導入される前にロードロック312内でプラズマ処理を受けてよい。さらに、ウエハは、例えば、湿気および吸着ガスを除去するために、入口ロードロック302内で加熱されてよい。次に、処理チャンバ314へのチャンバ移動ポート316が開かれ、別のロボット(図示せず)が、処理に向けて、リアクタにウエハを入れて、リアクタ内に示された第1のステーションのペデスタル上に配置する。図3に示した実施形態は、ロードロックを備えているが、いくつかの実施形態において、処理ステーションにウエハを直接入れてもよいことがわかる。
図の処理チャンバ314は、図3に示した実施形態において、1から4までの番号を付した4つの処理ステーションを備える。各ステーションは、加熱されたペデスタル(ステーション1については318と示されている)と、ガスライン流入口と、を有しうる。いくつかの実施形態において、各処理ステーションは、異なる目的すなわち複数の目的を有してもよいことがわかる。例えば、いくつかの実施形態において、1つの処理ステーションが、ALDモードおよびPECVDモードの間で切り替え可能であってもよい。本開示に従って後述するように、いくつかの実施形態において、処理ステーションは、蒸着モードおよびエッチングモードの間で切り替え可能なCCPリアクタを備えてよい。図の処理チャンバ314は4つのステーションを備えるが、本開示に従った処理チャンバ314は、任意の適切な数のステーションを有してよいことがわかる。例えば、いくつかの実施形態において、処理チャンバ314は、5以上のステーションを有してもよく、他の実施形態において、処理チャンバ314は、3以下のステーションを有してもよい。
図3は、さらに、処理チャンバ314内でウエハを移動させるためのウエハハンドリングシステム390を示す。いくつかの実施形態において、ウエハハンドリングシステム390は、様々な処理ステーションの間で、および/または、処理ステーションとロードロックとの間で、ウエハを移動させうる。任意の適切なウエハハンドリングシステムが用いられてよいことがわかる。非限定的な例は、ウエハカルーセルおよびウエハハンドラロボットを含む。図3は、さらに、マルチステーション処理ツール300の処理条件およびハードウェア状態を制御するために用いられるシステムコントローラ350を示す。システムコントローラ350は、1または複数のメモリデバイス356と、1または複数のマスストレージデバイス354と、1または複数のプロセッサ352と、を備えてよい。プロセッサ352は、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ350は、マルチステーション処理ツール300の動作すべてを制御する。システムコントローラ350は、マスストレージデバイス354に格納され、メモリデバイス356にロードされて、プロセッサ352で実行されるシステム制御ソフトウェア358を実行する。システム制御ソフトウェア358は、タイミング;ガスの混合;チャンバおよび/またはステーションの圧力;チャンバおよび/またはステーションの温度;パージの条件およびタイミング;ウエハ温度;RF電力レベル;RF(高周波)周波数;ウエハおよび/またはペデスタルの位置;蒸着モードおよびエッチングモードの切り替え;ならびに、マルチステーション処理ツール300によって実行される特定の処理の他のパラメータを制御するための命令を備えてよい。システム制御ソフトウェア358は、任意の適切な方法で構成されてよい。例えば、開示された方法に従って様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御ソフトウェア358は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。
いくつかの実施形態において、システム制御ソフトウェア358は、様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。例えば、ALD処理の各段階が、システムコントローラ350による実行のための1または複数の命令を備えてよい。さらに、蒸着モードからエッチングモードへの切り替えが、システムコントローラ350による実行のための1または複数の命令を含んでよい。ALD処理の処理条件を設定するための命令は、対応するALD段階に含まれてよく、異方性エッチング処理の処理条件を設定するための命令は、対応するエッチングレシピ段階に含まれてよい。いくつかの実施例において、ALDおよびエッチングレシピ段階は、連続的に配列されてよい。
システムコントローラ350に関連付けられたマスストレージデバイス354および/またはメモリデバイス356に格納された他のコンピュータソフトウェアおよび/またはプログラムが、いくつかの実施形態において用いられてもよい。この目的のためのプログラムまたはプログラムセクションの例は、ウエハ位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
ウエハ位置決めプログラムは、ウエハをペデスタル318上にロードすると共にウエハと処理ツール300の他の部品との間の間隔を制御するために用いられる処理ツール構成要素のためのプログラムコードを備えてよい。
処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、処理ステーション内の圧力を安定させるために蒸着の前に1または複数の処理ステーション内にガスを流すためのコードを備えてよい。圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するためのコードを備えてよい。
ヒータ制御プログラムは、ウエハを加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、ウエハへの熱伝導ガス(ヘリウムなど)の供給を制御してもよい。
プラズマ制御プログラムは、1または複数の処理ステーション内の処理電極に印加されるRF電力レベルを設定するためのコードを備えてよい。
いくつかの実施形態において、システムコントローラ350に関連したユーザインターフェースがあってよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラ350によって調整されるパラメータは、処理条件に関してよい。非限定的な例として、処理ガスの組成および流量、蒸着およびエッチングモード、ウエハ温度、圧力、プラズマ条件(RF電力レベルなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラ350のアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号は、マルチステーション処理ツール300のアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
システムコントローラ350は、蒸着-エッチング-蒸着ギャップ充填処理など、開示されている処理を実施するためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RF電力レベル、RFバイアス電力レベル、圧力、ウエハ温度など、様々な処理パラメータを制御しうる。命令は、本明細書に記載の様々な実施形態に従って蒸着-エッチング-蒸着シーケンスを動作させるためにパラメータを制御しうる。
蒸着モードおよびエッチングモード:
通例、CCPリアクタ内での蒸着が、或るハードウェア構成で実行されてよく、CCPリアクタ内でのエッチングが、異なるハードウェア構成で実行されてよい。具体的には、CCPリアクタ内でのALDが、或るRFハードウェア構成に従って最適化されてよく、CCPリアクタ内でのエッチングが、異なるRFハードウェア構成に従って最適化されてよい。図4Aおよび図4Bは、CCPリアクタ内で蒸着およびエッチングを実行するための異なるRFハードウェア構成を示す。図4Aにおいて、ウエハは、蒸着のために接地電極上に支持され、上側電極に電力供給される。図4Bにおいて、ウエハは、エッチングのために電力供給された電極上に支持され、上側電極が接地される。
図4Aは、蒸着処理を行うためのCCPリアクタを備えた装置の一例を示す概略図である。装置400aは、PECVDまたはALDを実行できるCCPリアクタ424を備える。CCPリアクタ424は、上側電極として機能するシャワーヘッド414と、下側電極として機能するペデスタル418とを備える。ペデスタル418は、シャワーヘッドの下方で対向しており、処理されるウエハ416を支持しうる。いくつかの実施例において、ウエハ416は、1または複数のフィーチャを有してよく、その結果、ウエハ416は非平坦である。例えば、ウエハ416は、1以上のギャップまたは複数のギャップを有してよい。いくつかの実施形態において、ペデスタル418は、上げ下げされてよい。処理ガスは、ガス流入口412を介してシャワーヘッド414に導入され、シャワーヘッド414は、CCPリアクタ424内へウエハ416に向かって処理ガスを分配する。RF電源402が、シャワーヘッド414とウエハ416との間の空間でプラズマ430aを生成するために、シャワーヘッド414に電気接続されてよい。図4Aのハードウェア構成におけるプラズマ430aは、蒸着のために最適化されうる。いくつかの実施形態において、プラズマエネルギは、チャンバ圧、ガス濃度、ガス混合物、RF源電力、RF源周波数、デューティサイクル、パルス周波数などの内の1または複数を制御することによって制御できる。
図4Aは、蒸着のためのRFハードウェア構成の一例を示しており、ここで、RF電源402は、シャワーヘッド414に電気接続されたHFRF発生器であってよく、ペデスタル418は接地されている。図4AのRFハードウェア構成は、一般に、ウエハ416にわたる不十分な電圧降下が生み出されるので、十分なエッチング速度を提供できない。しかしながら、図4AのRFハードウェア構成は、高速な周波数調整が可能であり、これは、ALD用途で重要でありうる。
高速な周波数調節は、図4AのRFハードウェア構成においてインピーダンス整合が高速で行われることを可能にする。インピーダンス整合は、電力伝達を最大化すると共に負荷からの反射を最小化するために、電気負荷の入力インピーダンスまたはそれに対応する信号源の出力インピーダンスを設計する動作である。プラズマ処理の文脈において、インピーダンス整合は、プラズマ放電から伝送線路(例えば、RFケーブル)へ戻る反射電力を最小化すると共にRF電源402からプラズマ放電へ伝達される電力を最大化するために用いられる。さらに、RF電源402が整合されない場合、電源(RF電源402)と負荷(プラズマ430a)との間の伝送線路に定在波を発生させる反射電力があるため、さらなる電力の浪費につながり、周波数依存の損失を引き起こしうる。いくつかの実施例において、インピーダンス整合回路網(図示せず)が、RF電源402に接続されてもよい。インピーダンス整合回路網は、RF電源402の電源インピーダンスと一致するように、プラズマ430aが示す負荷インピーダンスを変換することができる。通例、インピーダンス整合回路網は、プラズマインピーダンスに一致するようにRF電源402のインピーダンスを調整するために、1または複数のコンデンサまたはインダクタを備えうる。しかしながら、コンデンサまたはインデューサを用いてインピーダンスを調整することは、長い処理でありうるため、時間通りに短いプラズマを必要とする用途では望ましくない場合がある。例えば、ALD窓内で動作するために、処理は、0.5秒以下のオーダーを取りうる。したがって、コンデンサまたはインデューサを用いたインピーダンス整合ではなく、RF電源402の周波数を単に切り替えることによって、インピーダンス整合が行われうる。説明すると、RF電源402のインピーダンスが、50オームでプラズマインピーダンスと一致する必要がある場合、RF電源402は、13.56MHzでの動作から13.8MHzに高速で切り替わることができる。この種の高速周波数調整は、他のRFハードウェア構成(図4Bに示すものなど)では可能でない場合がある。
図4Bは、エッチング処理を行うためのCCPリアクタを備えた装置の一例を示す概略図である。装置400bは、プラズマエッチングを実行できるCCPリアクタ424を備える。図4Aの装置400aと同様に、図4Bの装置400bは、シャワーヘッド414、ペデスタル418、ウエハ416、および、ガス流入口412を備える。RF電源404、406が、ウエハ416に電圧降下を印加するためにペデスタル418に電気接続されてよい。RF電源404、406は、LFRF発生器404およびHFRF発生器406の両方を備えてよい。プラズマ430bが、シャワーヘッド414とウエハ416との間の空間で生成されてよい。図4Bのハードウェア構成におけるプラズマ430bは、エッチングのために最適化されうる。
図4Bは、エッチングのためのRFハードウェア構成の一例を示しており、ここで、LFRF発生器404およびHFRF発生器406は、ペデスタル418に電気接続されてよく、シャワーヘッド414は接地されている。いくつかの実施例において、LFRF発生器404は、約2Hz~約1000kHzの間の低周波数ラジオ波(RF)信号であって、例えば、400kHzの信号を供給できる。いくつかの実施例において、HFRF発生器406は、約1MHz~約100MHzの間の高周波数RF信号であって、例えば、13.56MHzの信号を供給できる。遮断コンデンサ432が、ペデスタル418と、LFRF発生器404およびHFRF発生器406の両方との間に配置されてよい。高周波信号および低周波信号の両方が混合された状態で、遮断コンデンサ432は、ペデスタル418につながるフィルタとして機能しうる。図4BのRF構成は、高速周波数調整を実行できない。これは、部分的には、周波数調整に必要な高速応答を妨げるRF経路内の構成要素の数によるものである。したがって、ALD処理は、一般に、ペデスタル418が図4Bでバイアスされる場合に、かかる条件で動作できない。しかしながら、図4AのRF構成と異なり、図4BのRF構成は、ウエハ416にわたる高い電圧降下を提供できる。
蒸着-エッチング-蒸着統合装置:
或る処理に最適であるが他の処理には最適でないRFハードウェア構成で蒸着およびエッチングを実行するのではなく、そして、蒸着-エッチング-蒸着シーケンスを実施するために或る装置から別の装置へウエハを常に移動させるのではなく、本開示は、蒸着およびエッチングの両方に最適であり、単一の装置に蒸着-エッチング-蒸着シーケンスを統合する統合装置を提供する。統合装置は、リレースイッチ、DOビットスイッチ、集積回路ボード(例えば、スプリッタボード)、RF発生器、同軸ケーブル、スイッチボックス、RFフィルタ、整合ユニットなど、異なるハードウェア構成要素の組みあわせを用いて、蒸着モードおよびエッチングモードの両方に最適なRFハードウェア構成を提供しうる。
図5は、いくつかの実施例に従って、蒸着モードとエッチングモードとの間で切り替わるよう構成されたプラズマ処理チャンバを備えた統合装置の一例を示す概略図である。統合装置500は、プラズマ処理チャンバ524を備えており、ここで、プラズマ処理チャンバ524は、処理ガスを供給するためのシャワーヘッド514と、ウエハを支持するためのペデスタル518とを備える。プラズマ処理チャンバ524は、CCPリアクタであってよく、ここで、シャワーヘッド514は上側電極を備え、ペデスタル518は下側電極を備える。統合装置500は、シャワーヘッド514およびペデスタル518へRF電力を供給するための複数の電源を備えうる。いくつかの実施例において、統合装置500は、LFRF発生器504およびHFRF発生器502を備えうる。LFRF発生器504およびHFRF発生器502は、1または複数のスイッチ564、568を介して、シャワーヘッド514またはペデスタル518へ動作可能に接続されてよい。本明細書で用いられているように、互いに「動作可能に接続された」構成要素とは、制御装置(例えば、スイッチ、システムコントローラなど)の動作に応じて、互いに電気接続または他の方法で接続された構成要素のことである。統合装置500は、LFRF発生器504およびHFRF発生器502の一方または両方に動作可能に接続された1または複数のスイッチ564、568を備えうる。スイッチ564、568は、(1)蒸着処理を実行するための蒸着モード(この時、スイッチ564、568は、少なくともHFRF発生器502をシャワーヘッド514に接続する)と、(2)エッチング処理を実行するためのエッチングモード(この時、スイッチ564、568は、少なくともLFRF発生器504およびHFRF発生器502をペデスタル518に接続し、シャワーヘッド514を接地する)との間で切り替えを行うよう構成されてよい。HFRF発生器502が蒸着モードでシャワーヘッド514に結合されると、HFRF発生器502は、RF電力をシャワーヘッド514へ供給できる。HFRF発生器502およびLFRF発生器504がエッチングモードでペデスタル518に接続されると、HFRF発生器502およびLFRF発生器504は、RF電力をペデスタル518へ供給できる。
図5において、スイッチ564、568は、フィルタで置き換えられてもよく、ここで、フィルタは、(1)蒸着処理を実行するための蒸着モード(この時、フィルタは、高周波数信号を選択的にシャワーヘッド514へ通過させる)と、(2)エッチング処理を実行するためのエッチングモード(この時、フィルタは、シャワーヘッド514を接地しつつ、高周波数および低周波数信号の一方または両方を選択的にペデスタル518へ通過させる)との間で切り替えを行うことができる。
図5に示すように、1または複数のスイッチ564、568は、蒸着モードでLFRF発生器504およびHFRF発生器502をシャワーヘッド514に接続するよう構成された第1ステーションリレースイッチ564を備える。第1位置において、第1ステーションリレースイッチ564は、LFRF発生器504およびHFRF発生器502をシャワーヘッドに電気接続する。このように、シャワーヘッド514は、蒸着モードでは電力供給される。第2位置において、第1ステーションリレースイッチ564は、電気的に接地され、その結果、シャワーヘッド514が電気的に接地される。図5に示すように、1または複数のスイッチ564、568は、エッチングモードでHFRF発生器502およびLFRF発生器504をペデスタル518に接続するよう構成された第2ステーションリレースイッチ568を備える。第1位置において、第2ステーションリレースイッチ568は、HFRF発生器502およびLFRF発生器504をペデスタル518に電気接続する。このように、ペデスタル518は、エッチングモードではバイアスされる。第2位置において、第2ステーションリレースイッチ568は、電気的に接地され、その結果、ペデスタル518が電気的に接地される。
いくつかの実施例において、統合装置500は、LFRF発生器504に接続された低周波数整合ユニット505(または低周波数インピーダンス整合回路網505)を備えてもよい。いくつかの実施形態において、統合装置500は、HFRF発生器502に接続された高周波数整合ユニット503(または高周波数インピーダンス整合回路網503)を備えてもよい。いくつかの実施例において、統合装置は、さらに、高または低周波数信号を選択的に通過させるために1または複数のフィルタを備えてもよい。統合装置500は、低周波数整合ユニット505に接続されたローパスフィルタ554と、高周波数整合ユニット503に接続されたハイパスフィルタ552とを備えてよい。いくつかの実施形態において、ローパスフィルタ554およびハイパスフィルタ552の各々は、1または複数のコンデンサおよびインダクタを備える。ローパスフィルタ554は、高周波数信号がLFRF発生器504に戻るのを防ぐことができ、ハイパスフィルタ552は、低周波数信号がHFRF発生器502に戻るのを防ぐことができる。いくつかの実施例において、ローパスフィルタ554およびハイパスフィルタ552の各々は、プラズマ処理チャンバ524だけでなく、複数のプラズマ処理チャンバのためのRFフィルタとして機能しうる。いくつかの実施例において、高周波数信号または低周波数信号を選択的に遮断するために、遮断フィルタ532が、LFRF発生器504と、ペデスタル518およびシャワーヘッド514の両方との間に挿入されてもよい。遮断フィルタ532は、図4BのRFハードウェア構成における遮断コンデンサ432と同様に機能しうる。
図5において、統合装置500は、蒸着モードとエッチングモードとの間で選択的に切り替え可能である。特定の実施形態に従った蒸着モードにおいて、第1ステーションレリレースイッチ564は、LFRF発生器504およびHFRF発生器502がシャワーヘッド514に電気接続されるように、第1位置に切り替えられ、それと同時に、第2ステーションリレースイッチ568は、ペデスタル518が接地されるように、第2位置に切り替えられる。かかる構成は、ALDに利用されうる。別の実施例に従った蒸着モードにおいて、第1ステーションレリレースイッチ564は、LFRF発生器504およびHFRF発生器502がシャワーヘッド514に電気接続されるように、第1位置に切り替えられ、それと同時に、第2ステーションリレースイッチ568は、LFRF発生器504およびHFRF発生器502がペデスタル518に電気接続されるように、第1位置に切り替えられる。いくつかの実施例において、遮断フィルタ532は、低周波数信号がシャワーヘッド514に到達するのを防ぐことができる。特定の実施例に従ったエッチングモードにおいて、第2ステーションレリレースイッチ568は、LFRF発生器504およびHFRF発生器502がペデスタル518に電気接続されるように、第1位置に切り替えられ、それと同時に、第1ステーションリレースイッチ564は、シャワーヘッド514が接地されるように、第2位置に切り替えられる。いくつかの実施例において、遮断フィルタ532は、低周波数または高周波数信号がペデスタル518に到達するのを防ぐことができる。いくつかの実施例において、低周波数および高周波数信号の両方が、エッチングモードでペデスタル518をバイアスするために用いられてよい。
図5のRFハードウェア構成は、蒸着-エッチング-蒸着シーケンスを単一の統合装置500内で実行できるように、スイッチ564、568を用いて蒸着モードおよびエッチングモードを最適化しうる。さらに、図5のRFハードウェア構成は、複数の集積回路ボード(例えば、スプリッタボード)を用いて、HFRF発生器502およびLFRF発生器504の両方を収容しうる。スプリッタボードは、1つのプラズマ処理チャンバ(プラズマ処理チャンバ524など)だけでなく、複数のプラズマ処理チャンバへ、信号が分配されることを可能にする。各スプリッタボードは、複数のチャネルを備えた複数のステーションを備えうる。1つのスプリッタボードが、LFRF発生器504、低周波数整合ユニット505、および、ローパスフィルタ554を備えてよく、別のスプリッタボードが、HFRF発生器502、高周波数整合ユニット503、および、ハイパスフィルタ552を備えてよい。いくつかの実施例において、スイッチ564、568は、少なくとも400万、少なくとも2000万、または、少なくとも2500万サイクルが可能であるリレースイッチであってよい。
図6は、いくつかの実施例に従って、蒸着モードとエッチングモードとの間の切り替えを実行するためのスキームの一例を示すブロック図である。図5に示したRFハードウェア構成と同様に、統合装置600は、シャワーヘッド614、ペデスタル618、HFRF発生器602、LFRF発生器604、および、複数の集積回路ボード623、633(例えば、スプリッタボード)を備えてよい。HFRF発生器602およびLFRF発生器604は、シャワーヘッド614およびペデスタル618と動作可能に接続されてよい。統合装置600は、HFRF発生器602に動作可能に接続されたスイッチ625を備える。スイッチ625は、(1)蒸着処理を実行するための蒸着モード(この時、スイッチ625は、HFRF発生器602をシャワーヘッド614に接続する)と、(2)エッチング処理を実行するためのエッチングモード(この時、スイッチ625は、少なくともLFRF発生器604およびHFRF発生器602をペデスタル618に接続し、シャワーヘッド614を接地する)との間で切り替えを行うよう構成されてよい。
図6において、統合装置600は、蒸着モードに関連する第1集積回路ボード623および第1高周波数整合ユニット603を備えてよい。蒸着モードにおいて、HFRF発生器602は、スイッチ625を介してシャワーヘッド614に電気接続され、その結果、HFRF発生器602、第1高周波数整合ユニット603、および、第1集積回路ボード623は、シャワーヘッド614と電気接続する。HFRF発生器602および第1高周波数整合ユニット603は、蒸着モードでシャワーヘッド614に電力を供給できる。いくつかの実施例において、ペデスタル618は、蒸着モードで接地される。
図6において、統合装置600は、エッチングモードに関連する第2集積回路ボード633および低周波数整合ユニット605ならびに第2高周波数整合ユニット613を備えてよい。エッチングモードにおいて、LFRF発生器604は、ペデスタル618に電気接続され、HFRF発生器602は、スイッチ625を介してペデスタル618に電気接続される。このように、HFRF発生器602、第2高周波数整合ユニット613、LFRF発生器604、低周波数整合ユニット605、および、第2集積回路ボード633は、ペデスタル618と電気接続する。HFRF発生器602および第2高周波数整合ユニット613ならびにLFRF発生器604および低周波数整合ユニット605は、エッチングモードでペデスタル618に電力を供給する。いくつかの実施例において、シャワーヘッド614は、エッチングモードで接地される。
いくつかの実施例において、スイッチ625は、蒸着モードでのHFRF発生器602からシャワーヘッド614への電力の供給と、エッチングモードでのHFRF発生器602からペデスタル618への電力の供給との間で切り替えを行うよう構成されたHFRFスイッチボックスである。蒸着モードでは、HFRF発生器602のみが、第1集積回路ボード623を通してシャワーヘッド614に接続される。エッチングモードでは、HFRF発生器602およびLFRF発生器604の両方が、第2集積回路ボード633を通してペデスタル618に接続される。いくつかの実施例において、第1集積回路ボード623および第2集積回路ボード633は、同期リレー制御635を介して通信可能に接続され、同期リレー制御635は、スイッチ625に通信可能に接続される。同期リレー制御635は、蒸着モードとエッチングモードとの間の切り替えを同期させるよう構成されている。例えば、第1集積回路ボード623が、蒸着モードでHFRF発生器602からシャワーヘッド614へ電力を供給している場合に、同期リレー制御635は、ペデスタル618へ電力を供給しないように、同時に第2集積回路ボード633へ通信できる。あるいは、第2集積回路ボード633が、エッチングモードでHFRF発生器602およびLFRF発生器604からペデスタル618へ電力を供給している場合に、同期リレー制御635は、シャワーヘッド614へ電力を供給しないように、同時に第1集積回路ボード623へ通信できる。
図5および図6におけるRFハードウェア構成は、HFRF発生器、LFRF発生器、および、シャワーヘッドおよび/またはペデスタルに電力供給するための別個の集積回路ボードを利用するが、いくつかのRFハードウエハ構成が、HFRF発生器およびLFRF発生器を単一の電力供給源として一体化し、シャワーヘッドおよび/またはペデスタルに電力供給するための単一の集積回路ボードを利用してもよい。いくつかの実施例において、HFRF発生器およびLFRF発生器は、単一の集積回路ボードの一部であってもよい。単一の集積回路ボードは、1つのモードで高周波数および/または低周波数信号をシャワーヘッドに供給でき、別のモードで高周波数および/または低周波数信号をペデスタルに供給できる。単一の集積回路ボードは、複数のチャネルを備えた複数ステーションを備えうる。
図7は、いくつかの実施例に従って、蒸着モードとエッチングモードとの間の切り替えを実行するためのスキームの別の例を示すブロック図である。統合装置700は、集積回路ボード710、シャワーヘッド714、および、ペデスタル718を備えてよい。シャワーヘッド714およびペデスタル718は、蒸着-エッチング-蒸着シーケンスをウエハに実行するためのプラズマ処理チャンバ(図示せず)の一部であってよい。プラズマ処理チャンバは、CCPリアクタであってよく、ここで、シャワーヘッド714は上側電極を備え、ペデスタル718は下側電極を備える。集積回路ボード710は、1または複数のHF/LFRF発生器704、708と、1または複数のスイッチ764、768とを備えてよく、ここで、1または複数のスイッチ764、768は、(1)蒸着処理を実行するための蒸着モード(この時、蒸着モードの1または複数のスイッチ764、768は、HF/LF発生器704をシャワーヘッド714に接続する)と、(2)エッチング処理を実行するためのエッチングモード(この時、エッチングモードの1または複数のスイッチ764、768は、HF/LF発生器708をペデスタル718に接続する)との間で切り替えを行うよう構成されている。いくつかの実施例において、図7に示すHF/LFRF発生器704、708は、単一のHF/LFRF発生器であってもよい。単一のHF/LFRF発生器が、高周波数信号および低周波数信号の両方を供給できる。同じHF/LFRF発生器が、シャワーヘッド714またはペデスタル718に電力供給するために用いられてよい。
いくつかの実施形態において、集積回路ボード710は、複数のステーションに電力供給するために、複数のチャンネルを備えられてよい。ステーションの1つに、第1ステーションリレースイッチ764があってよく、他のステーションの1つに、第2ステーションリレースイッチ768があってよい。第1ステーションリレースイッチ764は、蒸着モードで第1HF/LFRF発生器704をシャワーヘッド714に接続するよう構成される。第1ステーションリレースイッチ764が第1位置にある時、統合装置700は蒸着モードであり、第1HF/LFRF発生器704は、シャワーヘッド714に電力供給するためにシャワーヘッド714に電気接続される。HF/LFRF発生器704からの信号は、第1インダクタ774を通してシャワーヘッド714に至る。第1ステーションリレースイッチ764が第2位置にある時、シャワーヘッド714は接地される。いくつかの実施例において、シャワーヘッド714が接地された時に帰還路内のインダクタンスを補償するために、第1コンデンサ734が提供される。第2ステーションリレースイッチ768は、エッチングモードで第2HF/LFRF発生器708をペデスタル718に接続するよう構成される。第2ステーションリレースイッチ768が第1位置にある時、統合装置700はエッチングモードであり、第2HF/LFRF発生器708は、ペデスタル718をバイアスするためにペデスタル718に電気接続される。第2ステーションリレースイッチ768からの信号は、第2インダクタ778を通してペデスタル718に至る。第2ステーションリレースイッチ768が第2位置にある時、ペデスタル718は接地される。いくつかの実施例において、ペデスタル718が接地された時に帰還路内のインダクタンスを補償するために、第2コンデンサ738が提供される。
図7に示したようないくつかの実施形態において、集積回路ボード710は、モード間の切り替えを同期させるよう構成されたスイッチ735であって、デジタル出力(DO)ビットスイッチなどを備える。スイッチ735は、第1リレー744および第2リレー748に接続されうる。いくつかの実施例において、第1リレー744および第2リレー748の各々は、高電圧真空リレーであってよい。スイッチ735が蒸着モードにある時、第1リレー744は開位置になり、第2リレー748は閉位置になりうる。スイッチがエッチングモードにある時、第1リレー744は閉鎖位置になり、第2リレー748は開位置になりうる。第1リレー744が開き、第2リレー748が閉じると、シャワーヘッド714が電力供給され、ペデスタル718が接地されるように、第1ステーションリレースイッチ764は第1位置になり、第2ステーションリレースイッチ768は第2位置になる。第1リレー744が閉じ、第2リレー748が開くと、ペデスタル718が電力供給され、シャワーヘッド714が接地されるように、第1ステーションリレースイッチ764は第2位置になり、第2ステーションリレースイッチ768は第1位置になる。図7におけるかかる構成は、HF/LFRF発生器704、708が、蒸着モードでシャワーヘッド714に電力供給してペデスタル718を接地すること、または、F/LFRF発生器704、708が、エッチングモードでペデスタル718に電力供給してシャワーヘッド714を接地することを可能にする。
図7のシャワーヘッド714は、シャワーヘッド714と第1インダクタ774との間に配置された同軸ケーブル(図示せず)を通して接地されてよい。図7のペデスタル718も、ペデスタル718と第2インダクタ778との間に配置された同軸ケーブルを通して接地されてよい。しかしながら、インダクタおよび同軸ケーブルを通して接地すると、電気的接地へのより長い帰還路が形成される。これによって、より大きい電圧がシャワーヘッド714またはペデスタル718のいずれかで生じるので、電極間の電圧を効果的に削減しうる。これにより、エッチングモードは、例えば、ペデスタル718がバイアスされた場合に効果が低くなり、それでも、電極間の電圧は変わりうる。
インダクタおよび同軸ケーブルを通して接地するのではなく、いくつかのRFハードウェア構成が、プラズマ処理チャンバに局所的に、シャワーヘッドおよびペデスタルを接地してもよい。図8は、いくつかの実施例に従って、蒸着モードとエッチングモードとの間で切り替わるよう構成されたプラズマ処理チャンバを備えた統合装置の一例を示す概略図である。統合装置800は、集積回路ボード810およびプラズマ処理チャンバ824を備え、ここで、プラズマ処理チャンバ824は、シャワーヘッド814およびペデスタル818を備える。プラズマ処理チャンバ824は、CCPリアクタであってよく、ここで、シャワーヘッド814は上側電極を備え、ペデスタル818は下側電極を備える。CCPリアクタは、蒸着-エッチング-蒸着シーケンスをウエハに実行するよう構成されてよい。集積回路ボード810は、1または複数のHF/LFRF発生器804、808と、1または複数のスイッチ864、868とを備えてよい。統合装置800は、さらなるスイッチ884、888を備えてよい。スイッチ864、868、884、888は、(1)蒸着処理を実行するための蒸着モードと、(2)エッチング処理を実行するためのエッチングモードとの間で切り替えを行うよう構成される。蒸着モードでは、スイッチ864、868、884、888は、HF/LFRF発生器804をシャワーヘッド814に接続して、ペデスタル818を接地し、エッチングモードでは、スイッチ864、868、884、888は、HF/LFRF発生器808をペデスタル818に接続して、シャワーヘッド814を接地する。いくつかの実施例において、図8に示すHF/LFRF発生器804、808は、単一のHF/LFRF発生器であってもよい。単一のHF/LFRF発生器が、高周波数信号および低周波数信号の両方を供給できる。同じHF/LFRF発生器が、シャワーヘッド814またはペデスタル818に電力供給するために用いられてよい。
いくつかの実施例において、集積回路ボード810は、複数のステーションに電力供給するために、複数のチャンネルを備えられてよい。ステーションの1つに、第1ステーションリレースイッチ864があってよく、他のステーションの1つに、第2ステーションリレースイッチ868があってよい。第1ステーションリレースイッチ864は、蒸着モードで第1HF/LFRF発生器804をシャワーヘッド814に接続するよう構成される。第1ステーションリレースイッチ864が第1位置にある時、統合装置800は蒸着モードであり、第1HF/LFRF発生器804は、シャワーヘッド814に電力供給するためにシャワーヘッド814に電気接続される。HF/LFRF発生器804からの信号は、第1インダクタ874を通してシャワーヘッド814に至る。第1ステーションリレースイッチ864が第2位置にある時、シャワーヘッド814は接地される。しかしながら、第1インダクタ874および第1同軸ケーブル854を通して接地するのではなく、シャワーヘッド814は、プラズマ処理チャンバ824に局所的に接地される。シャワーヘッド接地リレースイッチ884が、シャワーヘッド814に局所的に提供され、第1ステーションリレースイッチ864に関連する第1ステーションに接続される。これは、帰還路におけるインダクタンスを減少させ、補償コンデンサの必要性を排除しうる。第2ステーションリレースイッチ868は、エッチングモードで第2HF/LFRF発生器808をペデスタル818に接続するよう構成される。第2ステーションリレースイッチ868が第1位置にある時、統合装置800はエッチングモードであり、第2HF/LFRF発生器808は、ペデスタル818をバイアスするためにペデスタル818に電気接続される。第2ステーションリレースイッチ868からの信号は、第2インダクタ878を通してペデスタル818に至る。第2ステーションリレースイッチ868が第2位置にある時、ペデスタル818は接地される。しかしながら、第2インダクタ878および第2同軸ケーブル858を通して接地するのではなく、ペデスタル818は、プラズマ処理チャンバ824に局所的に接地される。ペデスタル接地リレースイッチ888が、ペデスタル818に局所的に提供され、第2ステーションリレースイッチ868に関連する第2ステーションに接続される。これは、帰還路におけるインダクタンスを減少させ、補償コンデンサの必要性を排除しうる。いくつかの実施例において、電極を局所的に接地することで、エッチングモードにおけるエッチング処理を改善できる。しかしながら、図8の各ステーションは、第1ステーションにリレースイッチ864、884を有し、第2ステーションにリレースイッチ868、888を有するなど、1つではなく2つのリレースイッチを備える。
集積回路ボード810(例えば、スプリッタボード)は、複数のステーションを備えてよく、ここで、各ステーションは、電力のオン/オフを切り替えることができる。集積回路ボード810は、ステーションの1つにDOビットスイッチ(図示せず)を含んでよく、ここで、DOビットスイッチは、モード間の切り替えを同期させるよう構成される。したがって、すべての4つのリレースイッチ864、868、884、888すべてが同期されうる。例えば、DOビットスイッチが、第1ステーションリレースイッチ864に関連する第1ステーションに提供され、第1ステーションリレースイッチ864が第1位置に切り替えられると(例えば、オンにされると)、シャワーヘッド814は電力供給され、ペデスタル818は接地される。具体的には、第2ステーションリレースイッチ868は、第2位置に切り替えられ(例えば、オフにされ)、ペデスタル接地リレースイッチ888は、ペデスタル818を局所的に接地する。第1ステーションリレースイッチ864が、第2位置に切り替えられると(例えば、オフにされると)、ペデスタル818は電力供給され、シャワーヘッド814は接地される。具体的には、第2ステーションリレースイッチ868は、第1位置に切り替えられ(例えば、オンにされ)、シャワーヘッド接地リレースイッチ884は、シャワーヘッドル814を局所的に接地する。図8におけるかかる構成は、HF/LFRF発生器804、808が、蒸着モードでシャワーヘッド814に電力供給してペデスタル818を接地すること、または、F/LFRF発生器804、808が、エッチングモードでペデスタル818に電力供給してシャワーヘッド814を接地することを可能にする。
図5~図8に記載の統合装置における上述のRFハードウェア構成は、従来のツールよりも蒸着-エッチング-蒸着シーケンスを実行するためのより単純かつ柔軟なツールソリューションを提供できる。図9Aは、蒸着-エッチング-蒸着ギャップ充填処理を実行するための従来のマルチステーション処理ツールの一例を示す概略図である。マルチステーション処理ツール900aは、ポッド908を通してロードされたカセットからロードロックへウエハを移動させ、最終的に4つの処理チャンバ911、912、913、914の内の1つへ移動させるよう構成されたロボット906を備えるが、より少ないまたは多い処理チャンバがあってもよいことが理解される。マルチステーション処理ツール900aは、図3のマルチステーション処理ツール300と同様の特徴を備えうる。マルチステーション処理ツール900aにおいて、処理チャンバの内の3つ911、912、913は、ALDなどの蒸着処理を実行するよう構成されてよく、処理チャンバの内の1つ914は、エッチング処理を実行するよう構成されてよい。
図9Bは、蒸着-エッチング-蒸着ギャップ充填処理を実行するための開示した統合装置を含むマルチステーション処理ツールの一例を示す概略図である。マルチステーション処理ツール900bは、ポッド908を通してロードされたカセットからロードロックへウエハを移動させ、最終的に3つの処理チャンバ921a、921b、921cの内の1つへ移動させるよう構成されたロボット906を備えるが、より少ないまたは多い処理チャンバがあってもよいことが理解される。マルチステーション処理ツール900bは、図3のマルチステーション処理ツール300と同様の特徴を備えうる。マルチステーション処理ツール900bにおいて、処理チャンバ921a、921b、921cの各々は、蒸着処理およびエッチング処理の両方を実行するよう構成されてよい。例えば、処理チャンバ921a、921b、921cの各々は、図5~図8に示した特定のRFハードウェア構成を備えた統合装置を組み込んでよい。マルチステーション処理ツール900bは、同じチャンバ内でギャップ充填のために複数回の蒸着-エッチング-蒸着シーケンスを実行することにより、蒸着処理のための高速周波数調整、エッチング処理のための高エッチング速度、エッチング処理のためのテーパ状エッチングプロファイル、および、柔軟性の改善を提供しうる。
マルチステーション処理ツール900bは、図3のシステムコントローラ350など、システムコントローラ(図示せず)を備えてよい。システムコントローラは、蒸着モードおよびエッチングモードの間で切り替えを行う動作を含む動作を実行するための命令を提供するよう構成されてよい。システムコントローラは、システムの一部であってよく、システムは、統合装置の一部であってよい。システムコントローラは、図5~図8で上述したRFハードウェア構成のいずれかを用いて蒸着モードおよびエッチングモードで動作するためのプログラム命令を提供してよい。システムコントローラは、RF電力レベル、RF周波数、デューティサイクル、ウエハ温度、チャンバおよび/またはステーションの温度、チャンバおよび/またはステーションの圧力、ウエハおよび/またはペデスタルの位置、タイミング、ガスの混合、ガス流量、パージの条件およびタイミング、蒸着およびエッチングモード切替などを制御するための命令を備えてよい。
概して、システムコントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でシステムコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
システムコントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、システムコントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ローカルネットワークまたはインターネット等のネットワークを介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、システムコントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにシステムコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、システムコントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
ツールによって実行される1または複数の処理工程に応じて、システムコントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。システムコントローラは、以下に記載の動作の1または複数を実行するための命令を備えるよう構成されてよい。
処理条件:
図10は、蒸着-エッチング-蒸着ギャップ充填処理をウエハに実行するための処理フローの一例を示すフローチャートである。処理1000内の動作は、異なる順序で実行されてもよい、および/または、異なる、より少ない、または、さらなる動作を備えるように実行されてもよい。上述のシステムコントローラは、以下の動作の1または複数を実行するための命令を備えるよう構成されてよい。
蒸着およびエッチング処理のための図5~図9Bに提供された統合ツールソリューションを用いれば、全体を通して同じプラズマ処理チャンバ内で蒸着-エッチング-蒸着ギャップ充填処理を実行できる。さらに、エッチング処理を実行するための処理条件は、蒸着処理を実行するための処理条件に適合しうる。いくつかの実施例において、ウエハ温度、チャンバ圧、周波数、および、RF電力などの処理条件は、蒸着処理に適合するが、蒸着-エッチング-蒸着シーケンスで効果的な異方性エッチングを提供するように調整されうる。
処理1000は、ウエハがプラズマ処理チャンバ内に提供されるブロック1005で始まってよく、ウエハは、約5:1よりも大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有する。ウエハは、集積回路の製造に用いられる半導体ウエハであってよい。ウエハは、1または複数のフィーチャを有してよく、その結果、ウエハは非平坦である。いくつかの実施例において、1または複数のフィーチャは、1または複数のギャップ、トレンチ、または、凹部を含みうる。ギャップ充填処理は、1または複数のギャップを備えたウエハに実行されうる。ウエハにおけるギャップ幅は、様々な実施形態に従って様々でありえ、約5Å~約50μmまたは約100Å~約1μmの範囲であってよい。深さ対幅のアスペクト比の例は、約2:1よりも大きい、約5:1よりも大きい、約10:1よりも大きい、約30:1よりも大きい、約50:1よりも大きい、または、約100:1よりも大きくてよい。さらに、プラズマ処理チャンバは、処理ガスを供給するためのシャワーヘッドと、ウエハを支持するためのペデスタルとを備えたCCPリアクタであってよく、ここで、シャワーヘッドは上側電極を備え、ペデスタルは下側電極を備える。
処理1000のブロック1010で、第1誘電体層が、プラズマ処理チャンバ内でALDを用いて1または複数のギャップ内に蒸着されうる。いくつかの実施例において、第1誘電体層は、二酸化シリコン(SiO)などの誘電体酸化物であってよい。ALDを用いて、第1誘電体層の共形膜が、非平坦なウエハ上に蒸着されうる。ALDによる第1誘電体層の蒸着中、プラズマ処理チャンバは、少なくともシャワーヘッドがRF発生器によって電力供給される蒸着モードであってよい。いくつかの実施例において、ペデスタルは、第1誘電体層の蒸着中には接地されてよい。いくつかの実施例において、RF発生器は、高速周波数調整を実行できる。
処理1000のブロック1015で、第1誘電体層は、プラズマ処理チャンバ内でスロープ制御されて異方性エッチングされる。異方性エッチングは、第1誘電体層の成膜直後の状態の膜にテーパ状の正スロープを形成する。異方性エッチングは、ギャップの中および底部付近よりも、ギャップの上部付近で多くの誘電体材料を選択的に除去しうる。この説明のために、「ギャップの上部付近」または「開口部付近」は、フィールド領域から測定したギャップの深さの約0~10%に対応するギャップ内の(すなわち、ギャップの側壁に沿った)おおよその位置または領域であると定義する。特定の実施形態において、ギャップの開口部付近または上部付近の領域は、ギャップの開口部または上部の領域に対応する。さらに「ギャップの中および底部付近」または「ギャップの中」は、ギャップの上部のフィールド領域からギャップの深さの約20~60%に対応するギャップ内のおおよその位置または領域として定義する。通例は、特定のパラメータ(例えば、厚さ)の値が、「開口部付近」または「ギャップの中」のものと特定されている場合、これらの値は、これらの位置/領域内で得られた測定値または複数の測定値の平均を表す。異方性エッチングは、ギャップの中および底部付近よりもギャップの上部付近で傾斜プロファイルを生成するように、スロープ制御されて実行される。いくつかの実施例において、異方性エッチングのためのエッチャントは、三フッ化窒素(NF)など、フッ素系のエッチャントを含みうる。
ブロック1015で実行される異方性エッチング工程は、ブロック1010で実行された蒸着工程と同じプラズマ処理チャンバ内で実行されうる。いくつかの実施形態において、プラズマ処理チャンバは、ブロック1015ではエッチングモードであってよく、その時、ペデスタルはRF発生器によって電力供給され、シャワーヘッドは接地される。いくつかの実施例において、1または複数のスイッチが、蒸着モードでのシャワーヘッドへの電力供給から、エッチングモードでのペデスタルへの電力供給に、RF発生器を切り替えるために用いられてよい。例えば、処理1000は、さらに、第1誘電体層の異方性エッチングの前に、低周波数電力および高周波数電力をプラズマ処理チャンバ内のペデスタルに印加すると共にプラズマ処理チャンバ内のシャワーヘッドを接地するように切り替える工程を備えてよい。
ブロック1010における蒸着モードのための処理条件は、ブロック1015におけるエッチングモードのための処理条件に適合しうる。いくつかの実施例において、エッチング処理および蒸着処理の両方の間のウエハ温度は、50℃~650℃の間、100℃超、200℃超、300℃超、または、400℃超であってよい。かかるウエハ温度は、ブロック1010、1015、および、1020で適用されてよい。いくつかの実施例において、エッチング処理および蒸着処理の両方のためのチャンバ圧は、0.1Torr~10Torrの間、または、0.3Torr~1Torrの間であってよい。かかるチャンバ圧は、ブロック1010、1015、および、1020で適用されてよい。いくつかの実施例において、LFRF発生器は、約400kHzのRF周波数を提供でき、HFRF発生器は、約13.56MHzのRF周波数を提供できる。かかる周波数は、ブロック1010、1015、および、1020で適用されてよい。生成される低周波数電力は、約1500W~約6000Wの間であってよく、生成される高周波数電力は、約0W~約5000Wの間であってよい。かかるRF電力は、ブロック1010、1015、および、1020で適用されてよい。典型的なエッチング処理は、かかる高いウエハ温度では作用せず、かかる大きい低周波数電力を生成するためのかかる低周波数では作用しない。いくつかの実施例において、NFの濃度は、エッチングのために約1.0~2.5%の間であってよい。また、典型的なエッチング処理は、かかる少量のNFをエッチングに用いなくてよい。表Iは、ウエハ温度範囲、ガス混合物、流量を単位としたガス濃度、圧力、高周波数電力、低周波数電力、および、NF濃度の例を提供する。
Figure 0007027050000001
処理1000のブロック1020で、第2誘電体層が、プラズマ処理チャンバ内でALDを用いて第1誘電体層の上の1または複数のギャップ内に蒸着されうる。いくつかの実施例において、第2誘電体層は、SiOなどの誘電体酸化物であってよい。ALDを用いて、第2誘電体層の共形膜が、非平坦なウエハ上に蒸着されうる。いくつかの実施形態において、第2誘電体層は、1または複数のギャップを充填して閉じうる。第2誘電体層の蒸着中、プラズマ処理チャンバは、少なくともシャワーヘッドがRF発生器によって電力供給される蒸着モードであってよい。いくつかの実施例において、ペデスタルは、第2誘電体層の蒸着中には接地されてよい。第2誘電体層は、スロープ制御された異方性エッチングと同じプラズマ処理チャンバ内で蒸着されうる。いくつかの実施例において、処理1000は、さらに、第2誘電体層の蒸着の前に、高周波数電力をプラズマ処理チャンバ内のシャワーヘッドに印加すると共にプラズマ処理チャンバ内のペデスタルを接地するように切り替える工程を備えてよい。
リソグラフィパターニング:
上述の装置/処理は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。薄膜のリソグラフィパターニングは、通例、以下の工程の一部または全部を含み、各工程は、複数の可能なツールで実現される:(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(すなわち、基板)上にフォトレジストを塗布する工程;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる工程;(3)ウエハステッパなどのツールで可視光またはUVまたはX線にフォトレジストを暴露させる工程;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程;(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する工程;ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。
別の実施形態
本発明の実施形態および応用例が本明細書に図示および記載されているが、本発明の概念、範囲、および、精神の範囲内にある多くの変更例および変形例が可能であり、これらの変更例は、本願を熟読すれば当業者にとって明らかになるものである。したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。

Claims (19)

  1. 蒸着処理およびエッチング処理を実行するための統合装置であって、
    シャワーヘッドおよびペデスタルを備えた処理チャンバと、
    低周波数ラジオ波(LFRF)発生器と、
    高周波数ラジオ波(HFRF)発生器と、
    前記LFRF発生器および前記HFRF発生器の一方または両方に動作可能に接続された1または複数のスイッチと
    を備え、
    前記1または複数のスイッチは、(1)蒸着処理を実行するための蒸着モードであって、前記1または複数のスイッチは、少なくとも前記HFRF発生器を前記シャワーヘッドに接続する蒸着モードと、(2)エッチング処理を実行するためのエッチングモードであって、前記1または複数のスイッチは、前記HFRF発生器および前記LFRF発生器を前記ペデスタルに接続して、前記シャワーヘッドを接地するエッチングモードとの間で切り替えを行うよう構成されている装置。
  2. 請求項1に記載の装置であって、前記処理チャンバは、容量結合プラズマ(CCP)リアクタであり、前記シャワーヘッドは上側電極を備え、前記ペデスタルは下側電極を備える装置。
  3. 請求項1に記載の装置であって、前記蒸着モードの前記1または複数のスイッチは、前記HFRF発生器および前記LFRF発生器を前記シャワーヘッドに接続して、前記ペデスタルを接地する装置。
  4. 請求項1に記載の装置であって、前記1または複数のスイッチは、
    前記蒸着モードで前記LFRF発生器および前記HFRF発生器を前記シャワーヘッドに電気接続するよう構成された第1ステーションリレースイッチと、
    前記エッチングモードで前記LFRF発生器および前記HFRF発生器を前記ペデスタルに電気接続するよう構成された第2ステーションリレースイッチと
    を備える装置。
  5. 請求項4に記載の装置であって、前記第1ステーションリレースイッチは、前記LFRF発生器および前記HFRF発生器を前記シャワーヘッドに電気接続するための第1位置に切り替わると共に、前記シャワーヘッドを接地するための第2位置に切り替わるよう構成され、前記第2ステーションリレースイッチは、前記LFRF発生器および前記HFRF発生器を前記ペデスタルに電気接続するための第1位置に切り替わると共に、前記ペデスタルを接地するための第2位置に切り替わるよう構成され、前記第1ステーションリレースイッチの前記第1位置は、前記第2ステーションリレースイッチの前記第2位置と同期され、前記第2ステーションリレースイッチの前記第1位置は、前記第1ステーションリレースイッチの前記第2位置と同期される装置。
  6. 請求項4に記載の装置であって、さらに、
    前記LFRF発生器からの低周波数信号が前記蒸着モードで前記シャワーヘッドに到達しないように選択的にフィルタリングする1または複数のフィルタを備える装置。
  7. 請求項1ないし6のいずれか一項に記載の装置であって、前記LFRF発生器は、第1集積回路ボードの一部であり、前記HFRF発生器は、第2集積回路ボードの一部である装置。
  8. 請求項1ないし6のいずれか一項に記載の装置であって、前記1または複数のスイッチは、前記HFRF発生器に動作可能に接続され、前記蒸着モードで前記HFRF発生器から前記シャワーヘッドへの電力の供給と、前記エッチングモードでの前記HFRF発生器から前記ペデスタルへの電力の供給との間で切り替えを行うよう構成されたスイッチを含む装置。
  9. 請求項8に記載の装置であって、前記HFRF発生器は、前記蒸着モードで、第1集積回路ボードを通して前記シャワーヘッドに接続され、前記HFRF発生器および前記LFRF発生器は、前記エッチングモードで、第2集積回路ボードを通して前記ペデスタルに接続される装置。
  10. 請求項9に記載の装置であって、前記第1集積回路ボードおよび前記第2集積回路ボードは、同期リレー制御を介して通信可能に接続され、前記同期リレー制御は、前記スイッチに通信可能に接続される装置。
  11. 請求項1ないし6のいずれか一項に記載の装置であって、さらに、
    動作を実行するための命令を備えるよう構成されたコントローラを備え、前記動作は、
    (a)約5:1より大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有するウエハを前記ペデスタル上に準備する動作と、
    (b)前記蒸着モードの前記処理チャンバ内で、原子層蒸着(ALD)を用いて前記1または複数のギャップ内に第1誘電体層を蒸着する動作と、
    (c)前記エッチングモードの前記処理チャンバ内で、前記第1誘電体層をスロープ制御して異方性エッチングする動作と、
    (d)前記蒸着モードの前記処理チャンバ内で、ALDを用いて前記第1誘電体層の上の前記1または複数のギャップ内に第2誘電体酸化物層を蒸着する動作と
    を含む装置。
  12. 蒸着処理およびエッチング処理を実行するための統合装置であって、
    シャワーヘッドおよびペデスタルを備えた処理チャンバと、
    1または複数のHF/LFRF発生器を備えた集積回路ボードと、
    前記1または複数のHF/LFRF発生器に動作可能に接続された1または複数のスイッチと
    を備え、
    前記1または複数のスイッチは、(1)蒸着処理を実行するための蒸着モード(前記蒸着モードの前記1または複数のスイッチは、前記HF/LFRF発生器の少なくとも1つを前記シャワーヘッドに接続する)と、(2)エッチング処理を実行するためのエッチングモード(前記エッチングモードの前記1または複数のスイッチは、前記HF/LFRF発生器の少なくとも1つを前記ペデスタルに接続する)との間で切り替えを行うよう構成されている装置。
  13. 請求項12に記載の装置であって、前記処理チャンバは、CCPリアクタであり、前記シャワーヘッドは上側電極を備え、前記ペデスタルは下側電極を備える装置。
  14. 請求項12に記載の装置であって、前記集積回路ボードは、1つのHF/LFRF発生器を備える装置。
  15. 請求項12に記載の装置であって、前記1または複数のスイッチは、
    前記蒸着モードで前記HF/LFRF発生器の1つを前記シャワーヘッドに電気接続するよう構成された第1ステーションリレースイッチと、
    前記エッチングモードで前記HF/LFRF発生器の1つを前記ペデスタルに電気接続するよう構成された第2ステーションリレースイッチと
    を含む装置。
  16. 請求項15に記載の装置であって、前記第1ステーションリレースイッチは、前記蒸着モードで前記HF/LFRF発生器の1つを前記シャワーヘッドに電気接続するための第1位置に切り替わると共に、前記シャワーヘッドを接地するための第2位置に切り替わるよう構成され、前記第2ステーションリレースイッチは、前記エッチングモードで前記HF/LFRF発生器の1つを前記ペデスタルに電気接続するための第1位置に切り替わると共に、前記ペデスタルを接地するための第2位置に切り替わるよう構成され、前記第1ステーションリレースイッチの前記第1位置は、前記第2ステーションリレースイッチの前記第2位置と同期され、前記第2ステーションリレースイッチの前記第1位置は、前記第1ステーションリレースイッチの前記第2位置と同期される装置。
  17. 請求項16に記載の装置であって、前記1または複数のスイッチは、さらに、
    前記HF/LFRF発生器の1つが前記シャワーヘッドに動作可能に接続された時に前記蒸着モードで前記ペデスタルを接地するためのペデスタル接地リレースイッチと、
    前記HF/LFRF発生器の1つが前記ペデスタルに動作可能に接続された時に前記エッチングモードで前記シャワーヘッドを接地するためのシャワーヘッド接地リレースイッチと
    を含む装置。
  18. 請求項17に記載の装置であって、前記第1ステーションリレースイッチ、前記第2ステーションリレースイッチ、前記シャワーヘッド接地リレースイッチ、および、前記ペデスタル接地リレースイッチは、前記蒸着モードで、前記HF/LFRF発生器の1つが前記シャワーヘッドに電気接続されて、前記ペデスタルが接地されるように、そして、前記エッチングモードで、前記HF/LFRF発生器の1つが前記ペデスタルに電気接続されて、前記シャワーヘッドが接地されるように同期される装置。
  19. 請求項12ないし18のいずれか一項に記載の装置であって、さらに、
    動作を実行するための命令を備えるよう構成されたコントローラを備え、前記動作は、
    (a)約5:1より大きい深さ対幅のアスペクト比を各々有する1または複数のギャップを有するウエハを前記ペデスタル上に準備する動作と、
    (b)前記蒸着モードの前記処理チャンバ内で、原子層蒸着(ALD)を用いて前記1または複数のギャップ内に第1誘電体層を蒸着する動作と、
    (c)前記エッチングモードの前記処理チャンバ内で、前記第1誘電体層をスロープ制御して異方性エッチングする動作と、
    (d)前記蒸着モードの前記処理チャンバ内で、ALDを用いて前記第1誘電体層の上の前記1または複数のギャップ内に第2誘電体酸化物層を蒸着する動作と
    を含む装置。
JP2017122828A 2016-06-30 2017-06-23 ギャップ充填時の蒸着およびエッチングのための装置および方法 Active JP7027050B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2021185396A JP7410106B2 (ja) 2016-06-30 2021-11-15 ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2023215357A JP2024029060A (ja) 2016-06-30 2023-12-21 ギャップ充填時の蒸着およびエッチングのための装置および方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/199,608 2016-06-30
US15/199,608 US9773643B1 (en) 2016-06-30 2016-06-30 Apparatus and method for deposition and etch in gap fill

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021185396A Division JP7410106B2 (ja) 2016-06-30 2021-11-15 ギャップ充填時の蒸着およびエッチングのための装置および方法

Publications (2)

Publication Number Publication Date
JP2018011050A JP2018011050A (ja) 2018-01-18
JP7027050B2 true JP7027050B2 (ja) 2022-03-01

Family

ID=59886844

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017122828A Active JP7027050B2 (ja) 2016-06-30 2017-06-23 ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2021185396A Active JP7410106B2 (ja) 2016-06-30 2021-11-15 ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2023215357A Pending JP2024029060A (ja) 2016-06-30 2023-12-21 ギャップ充填時の蒸着およびエッチングのための装置および方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021185396A Active JP7410106B2 (ja) 2016-06-30 2021-11-15 ギャップ充填時の蒸着およびエッチングのための装置および方法
JP2023215357A Pending JP2024029060A (ja) 2016-06-30 2023-12-21 ギャップ充填時の蒸着およびエッチングのための装置および方法

Country Status (5)

Country Link
US (3) US9773643B1 (ja)
JP (3) JP7027050B2 (ja)
KR (2) KR102399577B1 (ja)
CN (2) CN107564790B (ja)
TW (2) TWI811757B (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11075079B2 (en) * 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
CN110534392B (zh) * 2018-05-25 2022-04-22 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
US20200095674A1 (en) * 2018-09-21 2020-03-26 Applied Materials, Inc. Gap-Fill With Aluminum-Containing Films
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US10720305B2 (en) * 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
CN111554590B (zh) * 2020-04-16 2021-04-13 上海陛通半导体能源科技股份有限公司 半导体填孔真空系统及填孔方法
JP7433154B2 (ja) 2020-07-16 2024-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI742902B (zh) * 2020-10-30 2021-10-11 台灣奈米碳素股份有限公司 利用電漿輔助原子層沉積技術製造半導體裝置的方法
TWI753633B (zh) * 2020-10-30 2022-01-21 台灣奈米碳素股份有限公司 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195851A (ja) 1998-12-25 2000-07-14 Nec Corp プラズマ処理装置ならびにプラズマ処理方法
JP2003197615A (ja) 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
JP2006286813A (ja) 2005-03-31 2006-10-19 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2014112668A (ja) 2012-11-08 2014-06-19 Novellus Systems Incorporated ギャップフィルのための共形膜蒸着

Family Cites Families (465)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (ja) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ja) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
JPH09102494A (ja) 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6399221B1 (en) 1996-06-25 2002-06-04 Northwestern University Organic light-emitting diodes and methods for assembly and emission control
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3492634B2 (ja) 1999-03-17 2004-02-03 インフィネオン テクノロジース エスシー300 ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト 半導体ウェーハ上のギャップの充填方法
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
JP3770542B2 (ja) 1999-07-22 2006-04-26 コーニング インコーポレイテッド 遠紫外軟x線投影リソグラフィー法およびマスク装置
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
WO2002091461A2 (en) * 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
JP2003119564A (ja) 2001-10-12 2003-04-23 Tokyo Electron Ltd 成膜方法及びプラズマcvd装置
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7115228B2 (en) 2002-05-24 2006-10-03 Baxter International Inc. One-piece tip protector and organizer
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
JP4965247B2 (ja) 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
KR100541195B1 (ko) * 2003-05-09 2006-01-11 주식회사 아이피에스 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP2006135029A (ja) 2004-11-04 2006-05-25 Sharp Corp ドライエッチング装置
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US8034727B2 (en) 2005-10-14 2011-10-11 Nec Corporation Method and apparatus for manufacturing semiconductor devices
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007145513A1 (en) 2006-06-16 2007-12-21 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8076247B2 (en) * 2007-01-30 2011-12-13 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling RF phase between opposing electrodes
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090015268A1 (en) * 2007-07-13 2009-01-15 Gardner Delrae H Device and method for compensating a capacitive sensor measurement for variations caused by environmental conditions in a semiconductor processing environment
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI420722B (zh) 2008-01-30 2013-12-21 Osram Opto Semiconductors Gmbh 具有封裝單元之裝置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
KR101114283B1 (ko) * 2009-04-24 2012-03-05 (주)제이하라 플라즈마 발생장치
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP2011166106A (ja) 2010-01-13 2011-08-25 Renesas Electronics Corp 半導体装置の製造方法及び半導体装置
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
EP4084093B1 (en) 2010-05-21 2024-02-21 ASM International N.V. Solar cell, and method of manufacturing the same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
EP2638321B1 (en) 2010-11-10 2019-05-08 Nanosys, Inc. Quantum dot films, lighting devices, and lighting methods
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US9006802B2 (en) 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
KR101975071B1 (ko) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9330963B2 (en) 2011-12-20 2016-05-03 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) * 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
JP2017501530A (ja) 2013-10-17 2017-01-12 ナノシス・インク. 発光ダイオード(led)デバイス
US10106887B2 (en) 2013-11-13 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6320248B2 (ja) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法
CN103928396A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
TWI673753B (zh) * 2014-08-22 2019-10-01 美商蘭姆研究公司 一狀態期間中的次脈動方法
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN105719954B (zh) * 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195851A (ja) 1998-12-25 2000-07-14 Nec Corp プラズマ処理装置ならびにプラズマ処理方法
JP2003197615A (ja) 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
JP2006286813A (ja) 2005-03-31 2006-10-19 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2014112668A (ja) 2012-11-08 2014-06-19 Novellus Systems Incorporated ギャップフィルのための共形膜蒸着

Also Published As

Publication number Publication date
KR20220069897A (ko) 2022-05-27
CN107564790B (zh) 2020-02-18
US20180005801A1 (en) 2018-01-04
JP2024029060A (ja) 2024-03-05
US20190385820A1 (en) 2019-12-19
CN107564790A (zh) 2018-01-09
JP2018011050A (ja) 2018-01-18
CN111243931A (zh) 2020-06-05
JP7410106B2 (ja) 2024-01-09
TW202143290A (zh) 2021-11-16
TW201809344A (zh) 2018-03-16
JP2022028796A (ja) 2022-02-16
US10373806B2 (en) 2019-08-06
US10957514B2 (en) 2021-03-23
KR20180003435A (ko) 2018-01-09
TWI738805B (zh) 2021-09-11
CN111243931B (zh) 2023-04-11
KR102399577B1 (ko) 2022-05-17
TWI811757B (zh) 2023-08-11
KR102580991B1 (ko) 2023-09-20
US9773643B1 (en) 2017-09-26

Similar Documents

Publication Publication Date Title
JP7027050B2 (ja) ギャップ充填時の蒸着およびエッチングのための装置および方法
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
KR102123722B1 (ko) 복수의 플라즈마 프로세싱 스테이션들에 걸쳐 임피던스들 또는 전력을 조정하기 위한 결합기 및 분배기
US9437451B2 (en) Radical-component oxide etch
KR20180117525A (ko) Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
US9633896B1 (en) Methods for formation of low-k aluminum-containing etch stop films
CN108140573A (zh) 用于原子层次分辨率与等离子体处理控制的方法
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
WO2019079013A1 (en) METHODS AND SYSTEMS FOR CONTROLLING PLASMA LUMINESCENT DISCHARGE IN A PLASMA CHAMBER
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
JP2023515065A (ja) コア除去

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200619

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210803

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211028

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220118

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220216

R150 Certificate of patent or registration of utility model

Ref document number: 7027050

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150