TWI742902B - 利用電漿輔助原子層沉積技術製造半導體裝置的方法 - Google Patents

利用電漿輔助原子層沉積技術製造半導體裝置的方法 Download PDF

Info

Publication number
TWI742902B
TWI742902B TW109137880A TW109137880A TWI742902B TW I742902 B TWI742902 B TW I742902B TW 109137880 A TW109137880 A TW 109137880A TW 109137880 A TW109137880 A TW 109137880A TW I742902 B TWI742902 B TW I742902B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
plasma
deposition
stacked layers
Prior art date
Application number
TW109137880A
Other languages
English (en)
Other versions
TW202217060A (zh
Inventor
顏聰富
張光瑞
蔡群賢
李庭鵑
蔡群榮
Original Assignee
台灣奈米碳素股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣奈米碳素股份有限公司 filed Critical 台灣奈米碳素股份有限公司
Priority to TW109137880A priority Critical patent/TWI742902B/zh
Application granted granted Critical
Publication of TWI742902B publication Critical patent/TWI742902B/zh
Priority to JP2021175977A priority patent/JP7187649B2/ja
Priority to CN202111258327.5A priority patent/CN114446802A/zh
Priority to US17/513,390 priority patent/US20220139694A1/en
Priority to DE102021128372.8A priority patent/DE102021128372A1/de
Publication of TW202217060A publication Critical patent/TW202217060A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Abstract

一種利用電漿輔助原子層沉積技術製造半導體裝置的製造方法,包括: 提供基板,基板包括矽基板及第一氧化層,第一氧化層位於矽基板上。沉積多個堆疊層於基板上,每一堆疊層包括介電層及導體層。蝕刻堆疊層以形成溝槽。使用電漿輔助原子層沉積設備沉積第二氧化層。電漿輔助原子層沉積設備包括腔室、上電極、下電極及三維旋轉裝置。上電極位於腔室的上方並連接第一射頻功率裝置,上電極用於產生一電漿。下電極設置於三維旋轉裝置上並連接第二射頻功率裝置。三維旋轉裝置帶動基板旋轉。沉積高電阻層於第二氧化層上。沉積低電阻層於高電阻層上。

Description

利用電漿輔助原子層沉積技術製造半導體裝置的方 法
本發明是有關於一種沉積設備,且特別關於一種電漿輔助原子層沉積設備及利用其的製造方法。
半導體工業正不斷的蓬勃發展當中。半導體設計和材料的技術進步讓半導體裝置具有更小、更複雜的電路。半導體裝置的功能密度通常增加而尺寸縮小,可以提高生產效率及降低成本。
半導體裝置的功能受到半導體晶片面積的限制,而隨著半導體技術的發展,越來越多裝置採用三維堆疊技術來增加元件的密度。然而,三維堆疊技術增加了半導體裝置製程的複雜度,並更難維持半導體裝置的製程品質及穩定度,且會影響產量。三維積體電路的半導體晶片對結構與製程要求很高。現有的三維半導體製程仍存在有許多問題,從而影響半導體晶片的電性和機械性質。因此,在半導體裝置的製程中進行對應的發展,以提升製程品質及穩定度是亟需解決的問題。
“先前技術”段落只是用來幫助了解本發明內容,因此在“先前技術”段落所揭露的內容可能包含一些沒有構成所屬技術領域中具有通常知識者所知道的習知技術。在“先前技術”段落所揭露的內容,不代表該內容或者本發明一個或多個實施例所要解決的問題,在本發明申請前已被所屬技術領域中具有通常知識者所知曉或認知。
本發明提供一種利用電漿輔助原子層沉積技術製造半導體裝置的方法,可以大幅提高沉積的薄膜的均勻度。
本發明另提供一種電漿輔助原子層沉積設備,可以大幅提高沉積的薄膜的均勻度。
本發明的其他目的和優點可以從本發明所揭露的技術特徵中得到進一步的了解。
本發明的利用電漿輔助原子層沉積技術製造半導體裝置的方法包括:提供一基板,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上。沉積多個堆疊層於該基板之上,每一該堆疊層包括一介電層及一導體層。通過圖案化的一光阻層蝕刻該些堆疊層,以在該些堆疊層形成至少一溝槽,該溝槽的底部暴露該第一氧化層。使用一電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PEALD)裝置沉積一第二氧化層於該些堆疊層及該至少一溝槽上,其中該電漿輔助原子層沉積設備包括一腔室、一上電極、一下電極及一三維旋轉裝置,該上電極位於該腔室的上方並連接一第一射頻功率裝置,該上電極用於產生一電漿,該三維旋轉裝置設置於該腔室的下方,該下電極設置於該三維旋轉裝置上並連接一第二射頻功率裝置,該基板設置於該下電極上以進行一沉積製程,在該沉積製程中,該三維旋轉裝置帶動該基板旋轉,以致使該第二氧化層均勻沉積。使用該電漿輔助原子層沉積設備沉積一高電阻層於該第二氧化層上。使用該電漿輔助原子層沉積設備沉積一低電阻層於該高電阻層上。
在本發明的一實施例中,上述之該高電阻層包括一第一多晶矽層及一第一導電化合物層,該低電阻層包括一第二多晶矽層及一第二導電化合物 層,該第二多晶矽層的厚度大於該第一多晶矽層,該第二導電化合物層的厚度大於該第一導電化合物層。
在本發明的一實施例中,上述之該下電極至該上電極的方向是一第一方向,該三維旋轉裝置不旋轉時,該基板的一法線方向平行該第一方向,該三維旋轉裝置帶動該基板旋轉時,該基板的該法線方向與該第一方向具有一角度,該角度介於0度至15度之間。
在本發明的一實施例中,上述之該上電極連接一上加熱器,在該沉積製程中,該上加熱器對該上電極進行加熱,以致使沉積均勻。
在本發明的一實施例中,上述之該下電極連接一下加熱器,在該沉積製程中,該下加熱器對該下電極進行加熱,以致使沉積均勻。
在本發明的一實施例中,上述之該堆疊層的層數大於20,每一該堆疊層中,該介電層位於該導體層上方,或該導體層位於該介電層上方。
在本發明的一實施例中,上述之該導體層是一P型半導體層或一N型半導體層,該介電層是一氧化物層。
在本發明的一實施例中,上述之該溝槽的一寬度介於45nm至65nm之間。
在本發明的一實施例中,上述之該矽基板的厚度介於520nm至580nm之間,該第一氧化層的厚度介於90nm至110nm之間,該介電層的厚度介於18nm至22nm之間,該導體層的厚度介於27nm至33nm之間。
本發明的電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PEALD)設備包括:一腔室、一上電極、一下電極以及一三維旋轉裝置。其中該上電極位於該腔室的上方並連接一第一射頻功率裝置,該上電極用於產生一電漿,該三維旋轉裝置設置於該腔室的下方,該下電極設 置於該三維旋轉裝置上並連接一第二射頻功率裝置。其中,一基板設置於該下電極上以進行一沉積製程,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上,多個堆疊層沉積於該基板之上,每一該堆疊層包括一介電層及一導體層,該些堆疊層通過圖案化的一光阻層蝕刻,以在該些堆疊層形成至少一溝槽,該溝槽的底部暴露該第一氧化層。其中,該電漿輔助原子層沉積設備沉積一第二氧化層於該些堆疊層及該至少一溝槽上,其中,在該沉積製程中,該三維旋轉裝置帶動該基板旋轉,以致使該第二氧化層均勻沉積,該電漿輔助原子層沉積設備沉積一高電阻層於該第二氧化層上,該電漿輔助原子層沉積設備沉積一低電阻層於該高電阻層上。
基於上述,本發明提供的電漿輔助原子層沉積設備及其製造方法,透過設置堆疊層、第二氧化層、高電阻層及低電阻層,可以大幅提高半導體裝置的品質及穩定度。透過該三維旋轉裝置的設置,該電漿輔助原子層沉積設備可以大幅提高沉積的薄膜的均勻度。
為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
1:半導體裝置
100:製造方法
11:基板
111:矽基板
113:第一氧化層
13a、13b、13c:堆疊層
131:導體層
133:介電層
15:第二氧化層
17:高電阻層
171:第一多晶矽層
173:第一導電化合物層
19:低電阻層
191:第二多晶矽層
193:第二導電化合物層
20:電漿輔助原子層沉積設備
21:腔室
23:上電極
231:上加熱器
24:第一射頻功率裝置
25:下電極
251:下加熱器
26:第二射頻功率裝置
27:三維旋轉裝置
A:角度
D:沉積製程
D1:第一方向
E:蝕刻製程
N:法線方向
P:電漿
PR:光阻層
S101~S111:步驟
t:溝槽
t1:溝槽
w:寬度
圖1、圖2、圖3、圖4是根據一些實施例所繪示出電漿輔助原子層沉積設備的製造方法的製程的中間階段的剖面圖。
圖5是本發明一實施例的半導體裝置的示意圖。
圖6是本發明一實施例的電漿輔助原子層沉積設備的示意圖。
圖7是本發明一實施例的電漿輔助原子層沉積設備的製造方法的流程圖。
有關本發明之前述及其他技術內容、特點與功效,在以下配合參考圖式之一較佳實施例的詳細說明中,將可清楚的呈現。以下實施例中所提到的方向用語,例如:上、下、左、右、前或後等,僅是參考附加圖式的方向。因此,使用的方向用語是用來說明並非用來限制本發明。
在本文中,「約」、「大約」以及「實質上」之用語通常表示在一給定值的+/-20%內,更通常是在給定值的+/-10%內,更通常是在給定值的+/-5%內,更通常是在給定值的3%內,更通常是在給定值的+/-2%內,更通常是在給定值的+/-1%內,甚至更通常是在給定值的+/-0.5%內。本揭露給定的數值為大約的數值,亦即在沒有特定說明「約」或「實質上」的情況下,給定值仍可隱含「約」或「實質上」的含義。
請參照圖1、圖2、圖3、圖4及圖5,圖1、圖2、圖3及圖4是根據一些實施例所繪示出電漿輔助原子層沉積設備的製造方法的中間階段的剖面圖,圖5是本發明一實施例的半導體裝置1的示意圖。
如圖1所示,在步驟S101中,提供一基板11。該基板11包括一矽基板111及一第一氧化層113。該第一氧化層113位於該矽基板111上。
如圖2所示,在步驟S103中,沉積多個堆疊層13a、13b、13c於該基板11之上。每一該堆疊層13a、13b、13c包括一介電層及一導體層,其中圖2以該堆疊層13a包括一介電層133及一導體層131作為說明。圖2以沉積3個堆疊層13a、13b、13c作為舉例說明,但本發明並不限制堆疊層的數量。在本實施例中,在其中一堆疊層中,該介電層133位於該導體層131的上方,但本發明並不以此為限。在本發明的其他實施例中,在一堆疊層中,導體層位於介電層的上方。
在本發明一實施例中,半導體裝置的該些堆疊層的層數大於20。每一該堆疊層中,該介電層位於該導體層上方,或該導體層位於該介電層上方。
如圖3所示,在步驟S105中,通過圖案化的一光阻層PR進行一蝕刻製程E,以蝕刻該些堆疊層13a、13b、13c。如圖4所示,同樣在步驟S105中,該蝕刻製程E在該些堆疊層13a、13b、13c形成至少一溝槽t。該溝槽t的底部暴露該第一氧化層113。為了方便說明,圖4僅以1個該溝槽t作為舉例說明,但本發明並不限制溝槽的數量。
請先參照圖6,圖6是本發明一實施例的電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PEALD)設備20的示意圖。該電漿輔助原子層沉積設備20包括一腔室21、一上電極23、一下電極25及一三維旋轉裝置27。該上電極23位於該腔室21的上方並連接一第一射頻功率裝置24。該上電極23用於產生一電漿P。該三維旋轉裝置27設置於該腔室21的下方。該下電極25設置於該三維旋轉裝置27上並連接一第二射頻功率裝置26。在本實施例中,該基板11設置於該下電極25上以進行一沉積製程D。圖6所示的該基板11僅為示意性說明,並非用以限制本發明。
如圖5所示,在步驟S107中,使用如圖6所示的該電漿輔助原子層沉積設備20沉積一第二氧化層15於該些堆疊層13a、13b、13c及該溝槽t上。在步驟S109中,使用如圖6所示的該電漿輔助原子層沉積設備20沉積一高電阻層17於該第二氧化層15上。
接著,在步驟S111中,使用如圖6所示的該電漿輔助原子層沉積設備20沉積一低電阻層19於該高電阻層17上。透過該三維旋轉裝置27的設置,該電漿輔助原子層沉積設備20可以大幅提高沉積的薄膜的均勻度。
在本實施例中,透過該下電極25的設置,該下電極25可以將各沉積製程拉入該溝槽t的深處。該上電極23產生的該電漿P在該下電極25的作用下,可以沉積至該溝槽t的更深處。藉此可以大幅提高沉積的薄膜的均勻度。
在本發明一實施例中,該高電阻層17包括一第一多晶矽層171及一第一導電化合物層173,但本發明並不以此為限制。在本發明一實施例中,該第一導電化合物層173位於該第一多晶矽層171的上方。
在本發明一實施例中,該低電阻層19包括一第二多晶矽層191及一第二導電化合物層193,但本發明並不以此為限制。在本發明一實施例中,該第二導電化合物層193位於該第二多晶矽層191的上方。
在本發明一實施例中,該第二多晶矽層191的厚度大於該第一多晶矽層171,該第二導電化合物層193的厚度大於該第一導電化合物層173,但本發明並不以此為限制。
如圖6所示,詳細來說,該電漿輔助原子層沉積設備20的該下電極25至該上電極23的方向是一第一方向D1。該三維旋轉裝置27不旋轉時,該基板11的一法線方向N平行該第一方向D1。該三維旋轉裝置27帶動該基板11旋轉時,該基板11的法線方向與該第一方向D1具有一角度A。在本實施例中,該角度A介於0度至15度之間。藉此,該電漿輔助原子層沉積設備20可以大幅提高沉積的薄膜的均勻度。
詳細來說,在上述各沉積製程中,該溝槽t越深處越難以進行沉積。即該上電極23產生的該電漿P在該溝槽t的深處的側壁上難以進行沉積製程。透過該三維旋轉裝置27的設置,在上述各沉積製程中,該三維旋轉裝置27帶動該基板11旋轉,可以讓該溝槽t深處的側壁沉積更為均勻。藉此可以大幅提高沉積的薄膜的均勻度。
在本發明一實施例中,該電漿輔助原子層沉積設備20的該上電極23還可以連接一上加熱器231。在前述的各該沉積製程D中,該上加熱器231對該上電極23進行加熱,以致使沉積均勻。藉此,該電漿輔助原子層沉積設備20可以大幅提高沉積的薄膜的均勻度。
在本發明一實施例中,該電漿輔助原子層沉積設備20的該下電極25連接一下加熱器251。在前述的各該沉積製程D中,該下加熱器251對該下電極25進行加熱,以致使沉積均勻。藉此,該電漿輔助原子層沉積設備20可以大幅提高沉積的薄膜的均勻度。
在本實施例中,該第二多晶矽層191的厚度大於該第一多晶矽層171,該第二導電化合物層193的厚度大於該第一導電化合物層173。具體而言,該高電阻層17的電阻值高於該低電阻層19。透過該些堆疊層13a、13b、13c、該第二氧化層15、該高電阻層17及該低電阻層19的設置,可以大幅提高該半導體裝置1的品質及穩定度。
在本發明一實施例中,該第二導電化合物層193的厚度在20nm至50nm之間。
如圖5所示,在步驟S111中沉積該低電阻層19後,該溝槽t仍存在有一溝槽t1,但本發明並不以此為限制。在本發明的其他實施例中,沉積該低電阻層19後,該低電阻層19可以填滿該溝槽t,即不存在如圖5所示的該溝槽t1。
在本發明一實施例中,該些堆疊層13a、13b、13c的該導體層是一P型半導體層或一N型半導體層,該介電層是一氧化物層(Oxide)。舉例而言,該堆疊層13a的該導體層131是一P型半導體層或一N型半導體層,該介電層133是一氧化物層。
在本發明一實施例中,該第一導電化合物層173及/或該第二導電化合物層193的材料為BN、BP、BAs、AlN、AlP、AlAs、GaN、GaP、GaAs、InN、InP、InAs或前述至少兩種的材料。
在本發明一實施例中,該高電阻層17的導電率大約為1×1015S‧m-1,該低電阻層19的導電率大約為1×1020S‧m-1。該高電阻層17的厚度大約為20nm,該低電阻層19的厚度大約為30nm。
如圖4所示,在本發明一實施例中,該溝槽t的一寬度w介於45nm至65nm之間。該基板11的該矽基板111的厚度介於520nm至580nm之間,該第一氧化層113的厚度介於90nm至110nm之間。該些堆疊層13a、13b、13c中(以該堆疊層13a舉例說明),該介電層133的厚度介於18nm至22nm之間,該導體層131的厚度介於27nm至33nm之間。
請參照圖7,圖7是本發明一實施例的電漿輔助原子層沉積設備20的製造方法的流程圖。具體而言,圖7是圖5所示的該半導體裝置1的製造方法100的流程圖。該製造方法100包括的步驟S101、S103、S105、S107、S109、S111的多個實施細節,在前述的實施例及實施方式都有詳細的說明,以下恕不多贅述。
綜上所述,本發明實施例的電漿輔助原子層沉積設備及其製造方法,透過設置堆疊層、第二氧化層、高電阻層及低電阻層,可以大幅提高半導體裝置的品質及穩定度。透過該三維旋轉裝置的設置,該電漿輔助原子層沉積設備可以大幅提高沉積的薄膜的均勻度。
11:基板
20:電漿輔助原子層沉積設備
21:腔室
23:上電極
231:上加熱器
24:第一射頻功率裝置
25:下電極
251:下加熱器
26:第二射頻功率裝置
27:三維旋轉裝置
A:角度
D1:第一方向
N:法線方向
P:電漿

Claims (8)

  1. 一種利用電漿輔助原子層沉積技術製造半導體裝置的方法,包括:提供一基板,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上;沉積多個堆疊層於該基板之上,每一該堆疊層包括一介電層及一導體層,且該堆疊層的層數大於20,每一該堆疊層中,該介電層位於該導體層上方,或該導體層位於該介電層上方;通過圖案化的一光阻層蝕刻該些堆疊層,以在該些堆疊層形成至少一溝槽,該溝槽的底部暴露該第一氧化層;使用一電漿輔助原子層沉積裝置沉積一第二氧化層於該些堆疊層及該至少一溝槽上,其中該電漿輔助原子層沉積設備包括一腔室、一上電極、一下電極及一三維旋轉裝置,該上電極位於該腔室的上方並連接一第一射頻功率裝置,該上電極用於產生一電漿,該三維旋轉裝置設置於該腔室的下方,該下電極設置於該三維旋轉裝置上並連接一第二射頻功率裝置,該基板設置於該下電極上以進行一沉積製程,在該沉積製程中,該三維旋轉裝置帶動該基板旋轉,以致使該第二氧化層均勻沉積;使用該電漿輔助原子層沉積設備沉積一高電阻層於該第二氧化層上;以及使用該電漿輔助原子層沉積設備沉積一低電阻層於該高電阻層上。
  2. 一種利用電漿輔助原子層沉積技術製造半導體裝置的方法,包括:提供一基板,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上; 沉積多個堆疊層於該基板之上,每一該堆疊層包括一介電層及一導體層,該導體層是一P型半導體層或一N型半導體層,該介電層是一氧化物層;通過圖案化的一光阻層蝕刻該些堆疊層,以在該些堆疊層形成至少一溝槽,該溝槽的底部暴露該第一氧化層;使用一電漿輔助原子層沉積裝置沉積一第二氧化層於該些堆疊層及該至少一溝槽上,其中該電漿輔助原子層沉積設備包括一腔室、一上電極、一下電極及一三維旋轉裝置,該上電極位於該腔室的上方並連接一第一射頻功率裝置,該上電極用於產生一電漿,該三維旋轉裝置設置於該腔室的下方,該下電極設置於該三維旋轉裝置上並連接一第二射頻功率裝置,該基板設置於該下電極上以進行一沉積製程,在該沉積製程中,該三維旋轉裝置帶動該基板旋轉,以致使該第二氧化層均勻沉積;使用該電漿輔助原子層沉積設備沉積一高電阻層於該第二氧化層上;以及使用該電漿輔助原子層沉積設備沉積一低電阻層於該高電阻層上。
  3. 如請求項1或2所述的方法,其中該高電阻層包括一第一多晶矽層及一第一導電化合物層,該低電阻層包括一第二多晶矽層及一第二導電化合物層,該第二多晶矽層的厚度大於該第一多晶矽層,該第二導電化合物層的厚度大於該第一導電化合物層。
  4. 如請求項1或2所述的方法,其中該下電極至該上電極的方向是一第一方向,該三維旋轉裝置不旋轉時,該基板的一法線方向平行該第一方向,該三維旋轉裝置帶動該基板旋轉時,該基板的該法線方向與該第一方向具有一角度,該角度介於0度至15度之間。
  5. 如請求項1或2所述的方法,其中該上電極連接一上加熱器,在該沉積製程中,該上加熱器對該上電極進行加熱,以致使沉積均勻。
  6. 如請求項1或2所述的方法,其中該下電極連接一下加熱器,在該沉積製程中,該下加熱器對該下電極進行加熱,以致使沉積均勻。
  7. 如請求項1或2所述的方法,其中該溝槽的一寬度介於45nm至65nm之間。
  8. 如請求項1或2所述的方法,其中該矽基板的厚度介於520nm至580nm之間,該第一氧化層的厚度介於90nm至110nm之間,該介電層的厚度介於18nm至22nm之間,該導體層的厚度介於27nm至33nm之間。
TW109137880A 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造半導體裝置的方法 TWI742902B (zh)

Priority Applications (5)

Application Number Priority Date Filing Date Title
TW109137880A TWI742902B (zh) 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造半導體裝置的方法
JP2021175977A JP7187649B2 (ja) 2020-10-30 2021-10-27 プラズマ支援原子層堆積技術を用いて半導体デバイスを製造する方法
CN202111258327.5A CN114446802A (zh) 2020-10-30 2021-10-27 利用等离子体辅助原子层沉积技术制造半导体装置的方法
US17/513,390 US20220139694A1 (en) 2020-10-30 2021-10-28 Method for manufacturing semiconductor device using plasma-enhanced atomic layer deposition
DE102021128372.8A DE102021128372A1 (de) 2020-10-30 2021-10-29 Verfahren zur herstellung von halbleiterbauelementen mittels plasmagestützter atomschichtabscheidung

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109137880A TWI742902B (zh) 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造半導體裝置的方法

Publications (2)

Publication Number Publication Date
TWI742902B true TWI742902B (zh) 2021-10-11
TW202217060A TW202217060A (zh) 2022-05-01

Family

ID=80782563

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109137880A TWI742902B (zh) 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造半導體裝置的方法

Country Status (5)

Country Link
US (1) US20220139694A1 (zh)
JP (1) JP7187649B2 (zh)
CN (1) CN114446802A (zh)
DE (1) DE102021128372A1 (zh)
TW (1) TWI742902B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016101246A1 (zh) * 2014-12-26 2016-06-30 中国科学院微电子研究所 一种自选通阻变存储器单元及其制备方法
TW202020984A (zh) * 2018-11-21 2020-06-01 全宇昕科技股份有限公司 二極體製造方法
TW202035769A (zh) * 2018-11-08 2020-10-01 美商蘭姆研究公司 針對3d nand集成具有改善的蝕刻選擇性的氮化物膜

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08157295A (ja) * 1994-12-01 1996-06-18 Crystal Device:Kk 薄膜形成方法
JP2005039123A (ja) 2003-07-17 2005-02-10 Renesas Technology Corp 化学気相成長装置
JP2015079802A (ja) 2013-10-15 2015-04-23 株式会社東芝 不揮発性半導体記憶装置
US9455261B1 (en) * 2015-07-10 2016-09-27 Micron Technology, Inc. Integrated structures
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
WO2019212059A1 (ja) 2018-05-02 2019-11-07 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
JP2020027873A (ja) 2018-08-10 2020-02-20 キオクシア株式会社 半導体装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016101246A1 (zh) * 2014-12-26 2016-06-30 中国科学院微电子研究所 一种自选通阻变存储器单元及其制备方法
TW202035769A (zh) * 2018-11-08 2020-10-01 美商蘭姆研究公司 針對3d nand集成具有改善的蝕刻選擇性的氮化物膜
TW202020984A (zh) * 2018-11-21 2020-06-01 全宇昕科技股份有限公司 二極體製造方法

Also Published As

Publication number Publication date
US20220139694A1 (en) 2022-05-05
TW202217060A (zh) 2022-05-01
DE102021128372A1 (de) 2022-05-05
JP2022074077A (ja) 2022-05-17
JP7187649B2 (ja) 2022-12-12
CN114446802A (zh) 2022-05-06

Similar Documents

Publication Publication Date Title
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
TWI623021B (zh) 乾電漿蝕刻時之選擇性的提升方法
TWI598960B (zh) 經由碳-氟含量之調整而在抗反射塗佈層蝕刻期間用以改良粗糙度及提升選擇性的方法
US9299580B2 (en) High aspect ratio plasma etch for 3D NAND semiconductor applications
TWI627661B (zh) 使次解析度等級之臨界尺寸不同的圖案化方法
KR20190038945A (ko) 실리콘 질화물의 준원자 층 에칭 방법
TWI666692B (zh) 具邊界間隙壁的半導體結構及其製造方法
US10950444B2 (en) Metal hard mask layers for processing of microelectronic workpieces
TW201901746A (zh) 基本無缺陷的多晶矽閘極陣列
TWI742902B (zh) 利用電漿輔助原子層沉積技術製造半導體裝置的方法
US11373880B2 (en) Creating different width lines and spaces in a metal layer
KR20190030182A (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
TW201903895A (zh) 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
TWI804573B (zh) 基板處理之方法與系統
TWI753633B (zh) 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法
TWI784335B (zh) 三維半導體二極體裝置的製造方法
CN112435923B (zh) 用于多产品混合生产的刻蚀工艺方法
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
US20230094212A1 (en) Plasma etch process for fabricating high aspect ratio (har) features
US10305029B1 (en) Image reversal process for tight pitch pillar arrays
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch
US20230326634A1 (en) Thin film resistor
TW202404425A (zh) 電漿處置系統
CN113257670A (zh) 蚀刻方法和等离子体处理装置
KR20220062828A (ko) 하드마스크 제조 방법 및 이를 이용한 반도체 소자 제조 방법