TWI753633B - 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法 - Google Patents

利用電漿輔助原子層沉積技術製造的半導體裝置及其方法 Download PDF

Info

Publication number
TWI753633B
TWI753633B TW109137882A TW109137882A TWI753633B TW I753633 B TWI753633 B TW I753633B TW 109137882 A TW109137882 A TW 109137882A TW 109137882 A TW109137882 A TW 109137882A TW I753633 B TWI753633 B TW I753633B
Authority
TW
Taiwan
Prior art keywords
layer
plasma
substrate
stacked layers
deposition
Prior art date
Application number
TW109137882A
Other languages
English (en)
Other versions
TW202217951A (zh
Inventor
顏聰富
張光瑞
蔡群賢
李庭鵑
蔡群榮
Original Assignee
台灣奈米碳素股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣奈米碳素股份有限公司 filed Critical 台灣奈米碳素股份有限公司
Priority to TW109137882A priority Critical patent/TWI753633B/zh
Priority to JP2021175972A priority patent/JP7263484B2/ja
Priority to CN202111258113.8A priority patent/CN114446801A/zh
Priority to US17/513,055 priority patent/US20220139701A1/en
Priority to DE102021128387.6A priority patent/DE102021128387A1/de
Application granted granted Critical
Publication of TWI753633B publication Critical patent/TWI753633B/zh
Publication of TW202217951A publication Critical patent/TW202217951A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Ceramic Engineering (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種利用電漿輔助原子層沉積技術製造半導體裝置的方法,包括:提供基板,基板包括矽基板及第一氧化層。沉積多個堆疊層於基板之上,堆疊層包括介電層及導體層。蝕刻堆疊層以形成至少一溝槽。使用電漿輔助原子層沈積裝置沉積第二氧化層於堆疊層及溝槽上。電漿輔助原子層沈積裝置包括腔室、上電極及下電極,上電極位於腔室的上方並包括多個噴頭。第一射頻功率裝置讓上電極的該些噴頭產生電漿,第二射頻功率裝置清潔該些噴頭,下電極設置於腔室的下方並連接一第三射頻功率裝置。沉積一高電阻層於第二氧化層上,沉積一低電阻層於高電阻層上。

Description

利用電漿輔助原子層沉積技術製造的半導體裝置及其方法
本發明是有關於一種製造半導體裝置的方法,且特別關於一種利用電漿輔助原子層沉積技術製造半導體裝置的方法。
半導體工業正不斷的蓬勃發展當中。半導體設計和材料的技術進步讓半導體裝置具有更小、更複雜的電路。半導體裝置的功能密度通常增加而尺寸縮小,可以提高生產效率及降低成本。
半導體裝置的功能受到半導體晶片面積的限制,而隨著半導體技術的發展,越來越多裝置採用三維堆疊技術來增加元件的密度。然而,三維堆疊技術增加了半導體裝置製程的複雜度,並更難維持半導體裝置的製程品質及穩定度,且會影響產量。三維積體電路的半導體晶片對結構與製程要求很高。現有的三維半導體製程仍存在有許多問題,從而影響半導體晶片的電性和機械性質。因此,在半導體裝置的製程中進行對應的發展,以提升製程品質及穩定度是亟需解決的問題。
“先前技術”段落只是用來幫助了解本發明內容,因此在“先前技術”段落所揭露的內容可能包含一些沒有構成所屬技術領域中具有通常知識者所知道的習知技術。在“先前技術”段落所揭露的內容,不代表該內容或者本發明一個或多個實施例所要解決的問題,在本發明申請前已被所屬技術領域中具有通常知識者所知曉或認知。
本發明提供一種利用電漿輔助原子層沉積技術製造半導體裝置的方法,可以大幅提高沉積的薄膜的均勻度。
本發明另提供一種半導體裝置,可以大幅提高沉積的薄膜的均勻度。本發明的其他目的和優點可以從本發明所揭露的技術特徵中得到進一步的了解。
本發明的一種利用電漿輔助原子層沉積技術製造半導體裝置的方法包括:提供一基板,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上。沉積多個堆疊層於該基板之上,每一該堆疊層包括一介電層及一導體層。通過圖案化的一光阻層蝕刻該些堆疊層,以在該些堆疊層形成至少一溝槽,該溝槽的底部暴露該第一氧化層。使用一電漿輔助原子層沈積(plasma-enhanced atomic layer deposition,PEALD)裝置沉積一第二氧化層於該些堆疊層及該至少一溝槽上,其中該電漿輔助原子層沈積裝置包括一腔室、一上電極及一下電極,該上電極位於該腔室的上方並連接一第一射頻功率裝置及一第二射頻功率裝置,該上電極包括多個噴頭,該第一射頻功率裝置用於讓該上電極的該些噴頭產生一電漿,該第二射頻功率裝置用於清潔該些噴頭,該下電極設置於該腔室的下方並連接一第三射頻功率裝置,該基板設置於該下電極上以進行一沉積製程。使用該電漿輔助原子層沈積裝置沉積一高電阻層於該第二氧化層上。以及使用該電漿輔助原子層沈積裝置沉積一低電阻層於該高電阻層上。
在本發明的一實施例中,上述之每一該噴頭具有一槽孔,該槽孔自該上電極的一上表面貫穿至一下表面。
在本發明的一實施例中,上述之該槽孔在該上表面的開口是一上開口,該槽孔在該下表面的開口是一下開口,該下開口大於該上開口,該槽孔自該下開口往該上開口逐漸縮小。
在本發明的一實施例中,上述之該槽孔在該上表面的開口是一上開口,該槽孔在該下表面的開口是一下開口,該下開口的輪廓是一六邊形。
在本發明的一實施例中,上述之該電漿輔助原子層沈積裝置還包括一三維旋轉裝置,該三維旋轉裝置設置於該腔室的下方,該下電極設置於該三維旋轉裝置上,在該沉積製程中,該三維旋轉裝置帶動該基板旋轉,以致使沉積均勻。
在本發明的一實施例中,上述之該下電極至該上電極的方向是一第一方向,該三維旋轉裝置不旋轉時,該基板的一法線方向平行該第一方向,該三維旋轉裝置帶動該基板旋轉時,該基板的該法線方向與該第一方向具有一角度,該角度介於0度至15度之間。
在本發明的一實施例中,上述之該上電極連接一上加熱器,在該沉積製程中,該上加熱器對該上電極進行加熱,以致使沈積均勻。
在本發明的一實施例中,上述之該下電極連接一下加熱器,在該沉積製程中,該下加熱器對該下電極進行加熱,以致使沈積均勻。
在本發明的一實施例中,上述之該高電阻層包括一第一多晶矽層及一第一導電化合物層,該低電阻層包括一第二多晶矽層及一第二導電化合物層,該第二多晶矽層的厚度大於該第一多晶矽層,該第二導電化合物層的厚度大於該第一導電化合物層。
在本發明的一實施例中,上述之該堆疊層的層數大於20,每一該堆疊層中,該介電層位於該導體層上方,或該導體層位於該介電層上方。
在本發明的一實施例中,上述之該導體層是一P型半導體層或一N型半導體層,該介電層是一氧化物層。
在本發明的一實施例中,上述之該溝槽的一寬度介於45nm至65nm之間。
在本發明的一實施例中,上述之該矽基板的厚度介於520nm至580nm之間,該第一氧化層的厚度介於90nm至110nm之間,該介電層的厚度介於18nm至22nm之間,該導體層的厚度介於27nm至33nm之間。
本發明的半導體裝置包括:一基板,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上。多個堆疊層沉積於該基板之上,每一該堆疊層包括一介電層及一導體層。至少一溝槽,該至少一溝槽通過圖案化的一光阻層蝕刻該些堆疊層而形成,該溝槽的底部暴露該第一氧化層。一第二氧化層,沉積於該些堆疊層及該至少一溝槽上,其中使用一電漿輔助原子層沈積(plasma-enhanced atomic layer deposition,PEALD)裝置沉積該第二氧化層,該電漿輔助原子層沈積裝置包括一腔室、一上電極及一下電極,該上電極位於該腔室的上方並連接一第一射頻功率裝置及一第二射頻功率裝置,該上電極包括多個噴頭,該第一射頻功率裝置用於讓該上電極的該些噴頭產生一電漿,該第二射頻功率裝置用於清潔該些噴頭,該下電極設置於該腔室的下方並連接一第三射頻功率裝置,該基板設置於該下電極上以進行一沉積製程。一高電阻層,該高電阻層使用該電漿輔助原子層沈積裝置沉積於該第二氧化層上。以及一低電阻層,該低電阻層使用該電漿輔助原子層沈積裝置沉積於該高電阻層上。
基於上述,本發明提供的半導體裝置及其製造方法,透過設置堆疊層、第二氧化層、高電阻層及低電阻層,可以大幅提高半導體裝置的品質及 穩定度。透過在電漿輔助原子層沉積裝置的上電極設置多個噴頭,可以產生均勻穩定的電漿,並可以對噴頭進行清潔。藉此,電漿輔助原子層沉積裝置可以大幅提高沉積的薄膜的均勻度。
為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
1:半導體裝置
100:製造方法
11:基板
111:矽基板
113:第一氧化層
13a、13b、13c:堆疊層
131:導體層
133:介電層
15:第二氧化層
17:高電阻層
171:第一多晶矽層
173:第一導電化合物層
19:低電阻層
191:第二多晶矽層
193:第二導電化合物層
20:電漿輔助原子層沉積裝置
21:腔室
23:上電極
231:噴頭
2311:上開口
2313:下開口
233:上加熱器
24a:第一射頻功率裝置
24b:第二射頻功率裝置
25:下電極
251:下加熱器
26:第三射頻功率裝置
27:三維旋轉裝置
A:角度
D:沉積製程
D1:第一方向
E:蝕刻製程
h:槽孔
N:法線方向
P:電漿
PR:光阻層
S101~S111:步驟
t:溝槽
t1:溝槽
w:寬度
圖1、圖2、圖3、圖4是根據一些實施例所繪示出一種利用電漿輔助原子層沉積技術製造半導體裝置的方法的製程的中間階段的剖面圖。
圖5是本發明一實施例的半導體裝置的示意圖。
圖6是本發明一實施例的電漿輔助原子層沉積裝置的示意圖。
圖7A是本發明一實施例的電漿輔助原子層沉積裝置的局部的示意圖。
圖7B是本發明一實施例的電漿輔助原子層沉積裝置的局部的示意圖。
圖8是本發明一實施例的一種利用電漿輔助原子層沉積技術製造半導體裝置的方法的流程圖。
有關本發明之前述及其他技術內容、特點與功效,在以下配合參考圖式之一較佳實施例的詳細說明中,將可清楚的呈現。以下實施例中所提到的方向用語,例如:上、下、左、右、前或後等,僅是參考附加圖式的方向。因此,使用的方向用語是用來說明並非用來限制本發明。
在本文中,「約」、「大約」以及「實質上」之用語通常表示在一給定值的+/- 20%內,更通常是在給定值的+/- 10%內,更通常是在給定值的 +/- 5%內,更通常是在給定值的3%內,更通常是在給定值的+/- 2%內,更通常是在給定值的+/- 1%內,甚至更通常是在給定值的+/- 0.5%內。本揭露給定的數值為大約的數值,亦即在沒有特定說明「約」或「實質上」的情況下,給定值仍可隱含「約」或「實質上」的含義。
請參照圖1、圖2、圖3、圖4及圖5,圖1、圖2、圖3及圖4是根據一些實施例所繪示出一種利用電漿輔助原子層沉積技術製造半導體裝置的方法的中間階段的剖面圖,圖5是本發明一實施例的半導體裝置1的示意圖。如圖1所示,在步驟S101中,提供一基板11。該基板11包括一矽基板111及一第一氧化層113。該第一氧化層113位於該矽基板111上。
如圖2所示,在步驟S103中,沉積多個堆疊層13a、13b、13c於該基板11之上。每一該堆疊層13a、13b、13c包括一介電層及一導體層,其中圖2以該堆疊層13a包括一介電層133及一導體層131作為說明。圖2以沉積3個堆疊層13a、13b、13c作為舉例說明,但本發明並不限制堆疊層的數量。在本實施例中,在其中一堆疊層中,該介電層133位於該導體層131的上方,但本發明並不以此為限。在本發明的其他實施例中,在一堆疊層中,導體層位於介電層的上方。
在本發明一實施例中,半導體裝置的該些堆疊層的層數大於20。每一該堆疊層中,該介電層位於該導體層上方,或該導體層位於該介電層上方。
如圖3所示,在步驟S105中,通過圖案化的一光阻層PR進行一蝕刻製程E,以蝕刻該些堆疊層13a、13b、13c。如圖4所示,同樣在步驟S105中,該蝕刻製程E在該些堆疊層13a、13b、13c形成至少一溝槽t。該溝槽t的底部暴露該第一氧化層113。為了方便說明,圖4僅以1個該溝槽t作為舉例說明,但本發明並不限制溝槽的數量。
請先參照圖6,圖6是本發明一實施例的電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PEALD)裝置20的示意圖。該電漿輔助原子層沉積裝置20包括一腔室21、一上電極23及一下電極25。該上電極23位於該腔室21的上方並連接一第一射頻功率裝置24a及一第二射頻功率裝置24b。
請同時參照圖7A,圖7A是本發明一實施例的電漿輔助原子層沉積裝置20的局部的示意圖。該電漿輔助原子層沉積裝置20的該上電極23包括多個噴頭231。該第一射頻功率裝置24a用於讓該上電極23的該些噴頭231產生一電漿P。該第二射頻功率裝置24b用於清潔該些噴頭231。該下電極25設置於該腔室21的下方並連接一第三射頻功率裝置26,該基板11設置於該下電極25上以進行一沉積製程D。圖6所示的該基板11僅為示意性說明,並非用以限制本發明。
如圖5所示,在步驟S107中,使用如圖6所示的該電漿輔助原子層沉積裝置20沉積一第二氧化層15於該些堆疊層13a、13b、13c及該溝槽t上。在步驟S109中,使用如圖6所示的該電漿輔助原子層沉積裝置20沉積一高電阻層17於該第二氧化層15上。
接著,在步驟S111中,使用如圖6所示的該電漿輔助原子層沉積裝置20沉積一低電阻層19於該高電阻層17上。透過在該上電極23設置多個噴頭231,可以產生均勻穩定的該電漿P,可以大幅提高沉積的薄膜的均勻度。該上電極23並可以對該些噴頭231進行清潔。藉此,該電漿輔助原子層沉積裝置20可以大幅提高沉積的薄膜的均勻度。
在本實施例中,透過該下電極25的設置,該下電極25可以將各沉積製程拉入該溝槽t的深處。該上電極23產生的該電漿P在該下電極25的作用下,可以沉積至該溝槽t的更深處。藉此可以大幅提高沉積的薄膜的均勻度。
在本發明一實施例中,該高電阻層17包括一第一多晶矽層171及一第一導電化合物層173,但本發明並不以此為限制。在本發明一實施例中,該第一導電化合物層173位於該第一多晶矽層171的上方。
在本發明一實施例中,該低電阻層19包括一第二多晶矽層191及一第二導電化合物層193,但本發明並不以此為限制。在本發明一實施例中,該第二導電化合物層193位於該第二多晶矽層191的上方。
在本發明一實施例中,該第二多晶矽層191的厚度大於該第一多晶矽層171,該第二導電化合物層193的厚度大於該第一導電化合物層173,但本發明並不以此為限制。
如圖7A所示,詳細來說,該電漿輔助原子層沉積裝置20的該上電極23的每一該噴頭231具有一槽孔h。該槽孔h自該上電極23的一上表面貫穿至一下表面。該槽孔h在該上表面的開口是一上開口2311,該槽孔h在該下表面的開口是一下開口2313。在本發明一實施例中,該下開口2313大於該上開口2311,該槽孔h自該下開口2313往該上開口2311逐漸縮小。具體而言,該槽孔h在該下開口2313處例如可以是一喇叭的形狀。藉此,透過該噴頭231的設置,該電漿輔助原子層沉積裝置20的該上電極23可以產生均勻穩定的該電漿P,可以大幅提高沉積的薄膜的均勻度。
請同時參閱圖7B,圖7B是本發明一實施例的電漿輔助原子層沉積裝置20的局部的示意圖。在本發明一實施例中,該上電極23的該噴頭231的該槽孔h的該下開口2313在該下表面的輪廓是一六邊形。藉此,透過該噴頭 231的設置,該電漿輔助原子層沉積裝置20的該上電極23可以產生均勻穩定的該電漿P,可以大幅提高沉積的薄膜的均勻度。在本發明一實施例中,該上電極23的該噴頭231的該槽孔h的該下開口2313在該下表面的輪廓是一正六邊形。
此外,該電漿輔助原子層沈積裝置20例如還可以還包括一三維旋轉裝置27。該三維旋轉裝置27設置於該腔室21的下方。該下電極25設置於該三維旋轉裝置27上。在該沉積製程D中,該三維旋轉裝置27帶動該基板11旋轉,以致使沉積均勻。透過該三維旋轉裝置27的設置,該電漿輔助原子層沉積裝置20可以大幅提高沉積的薄膜的均勻度。
詳細來說,在上述各沉積製程中,該溝槽t越深處越難以進行沉積。即該上電極23產生的該電漿P在該溝槽t的深處的側壁上難以進行沉積製程。透過該三維旋轉裝置27的設置,在上述各沉積製程中,該三維旋轉裝置27帶動該基板11旋轉,可以讓該溝槽t深處的側壁沉積更為均勻。藉此可以大幅提高沉積的薄膜的均勻度。
如圖6所示,詳細來說,該電漿輔助原子層沉積裝置20的該下電極25至該上電極23的方向是一第一方向D1。該三維旋轉裝置27不旋轉時,該基板11的一法線方向N平行該第一方向D1。該三維旋轉裝置27帶動該基板11旋轉時,該基板11的法線方向與該第一方向D1具有一角度A。在本實施例中,該角度A介於0度至15度之間。藉此,該電漿輔助原子層沉積裝置20可以大幅提高沉積的薄膜的均勻度。
在本發明一實施例中,該電漿輔助原子層沉積裝置20的該上電極23還可以連接一上加熱器233。在前述的各該沉積製程D中,該上加熱器233對 該上電極23進行加熱,以致使沉積均勻。藉此,該電漿輔助原子層沉積裝置20可以大幅提高沉積的薄膜的均勻度。
在本發明一實施例中,該電漿輔助原子層沉積裝置20的該下電極25連接一下加熱器251。在前述的各該沉積製程D中,該下加熱器251對該下電極25進行加熱,以致使沉積均勻。藉此,該電漿輔助原子層沉積裝置20可以大幅提高沉積的薄膜的均勻度。
在本實施例中,該第二多晶矽層191的厚度大於該第一多晶矽層171,該第二導電化合物層193的厚度大於該第一導電化合物層173。具體而言,該高電阻層17的電阻值高於該低電阻層19。透過該些堆疊層13a、13b、13c、該第二氧化層15、該高電阻層17及該低電阻層19的設置,可以大幅提高該半導體裝置1的品質及穩定度。
在本發明一實施例中,該第二導電化合物層193的厚度在20nm至50nm之間。
如圖5所示,在步驟S111中沉積該低電阻層19後,該溝槽t仍存在有一溝槽t1,但本發明並不以此為限制。在本發明的其他實施例中,沉積該低電阻層19後,該低電阻層19可以填滿該溝槽t,即不存在如圖5所示的該溝槽t1。
在本發明一實施例中,該些堆疊層13a、13b、13c的該導體層是一P型半導體層或一N型半導體層,該介電層是一氧化物層(Oxide)。舉例而言,該堆疊層13a的該導體層131是一P型半導體層或一N型半導體層,該介電層133是一氧化物層。
在本發明一實施例中,該第一導電化合物層173及/或該第二導電化合物層193的材料為BN、BP、BAs、AlN、AlP、AlAs、GaN、GaP、GaAs、InN、InP、InAs或前述至少兩種的材料。
在本發明一實施例中,該高電阻層17的導電率大約為1×1015S‧m-1,該低電阻層19的導電率大約為1×1020S‧m-1。該高電阻層17的厚度大約為20nm,該低電阻層19的厚度大約為30nm。
如圖4所示,在本發明一實施例中,該溝槽t的一寬度w介於45nm至65nm之間。該基板11的該矽基板111的厚度介於520nm至580nm之間,該第一氧化層113的厚度介於90nm至110nm之間。該些堆疊層13a、13b、13c中(以該堆疊層13a舉例說明),該介電層133的厚度介於18nm至22nm之間,該導體層131的厚度介於27nm至33nm之間。
請參照圖8,圖8是本發明一實施例的電漿輔助原子層沉積裝置20的製造方法的流程圖。具體而言,圖7是圖5所示的該半導體裝置1的製造方法100的流程圖。該製造方法100包括的步驟S101、S103、S105、S107、S109、S111的多個實施細節,在前述的實施例及實施方式都有詳細的說明,以下恕不多贅述。
綜上所述,本發明實施例的半導體裝置及其製造方法,透過設置堆疊層、第二氧化層、高電阻層及低電阻層,可以大幅提高半導體裝置的品質及穩定度。透過在電漿輔助原子層沉積裝置的上電極設置多個噴頭,可以產生均勻穩定的電漿,並可以對噴頭進行清潔。藉此,電漿輔助原子層沉積裝置可以大幅提高沉積的薄膜的均勻度。
11:基板
20:電漿輔助原子層沉積裝置
21:腔室
23:上電極
231:噴頭
233:上加熱器
24a:第一射頻功率裝置
24b:第二射頻功率裝置
25:下電極
251:下加熱器
26:第三射頻功率裝置
27:三維旋轉裝置
A:角度
D1:第一方向
N:法線方向
P:電漿

Claims (14)

  1. 一種利用電漿輔助原子層沉積技術製造半導體裝置的方法,包括: 提供一基板,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上; 沉積多個堆疊層於該基板之上,每一該堆疊層包括一介電層及一導體層; 通過圖案化的一光阻層蝕刻該些堆疊層,以在該些堆疊層形成至少一溝槽,該溝槽的底部暴露該第一氧化層; 使用一電漿輔助原子層沈積裝置沉積一第二氧化層於該些堆疊層及該至少一溝槽上,其中該電漿輔助原子層沈積裝置包括一腔室、一上電極及一下電極,該上電極位於該腔室的上方並連接一第一射頻功率裝置及一第二射頻功率裝置,該上電極包括多個噴頭,該第一射頻功率裝置用於讓該上電極的該些噴頭產生一電漿,該第二射頻功率裝置用於清潔該些噴頭,該下電極設置於該腔室的下方並連接一第三射頻功率裝置,該基板設置於該下電極上以進行一沉積製程; 使用該電漿輔助原子層沈積裝置沉積一高電阻層於該第二氧化層上;以及 使用該電漿輔助原子層沈積裝置沉積一低電阻層於該高電阻層上。
  2. 如請求項1所述的方法,其中每一該噴頭具有一槽孔,該槽孔自該上電極的一上表面貫穿至一下表面。
  3. 如請求項2所述的方法,其中該槽孔在該上表面的開口是一上開口,該槽孔在該下表面的開口是一下開口,該下開口大於該上開口,該槽孔自該下開口往該上開口逐漸縮小。
  4. 如請求項2所述的方法,其中該槽孔在該上表面的開口是一上開口,該槽孔在該下表面的開口是一下開口,該下開口的輪廓是一六邊形。
  5. 如請求項1所述的方法,其中該電漿輔助原子層沈積裝置還包括一三維旋轉裝置,該三維旋轉裝置設置於該腔室的下方,該下電極設置於該三維旋轉裝置上,在該沉積製程中,該三維旋轉裝置帶動該基板旋轉,以致使沉積均勻。
  6. 如請求項5所述的方法,其中該下電極至該上電極的方向是一第一方向,該三維旋轉裝置不旋轉時,該基板的一法線方向平行該第一方向,該三維旋轉裝置帶動該基板旋轉時,該基板的該法線方向與該第一方向具有一角度,該角度介於0度至15度之間。
  7. 如請求項1所述的方法,其中該上電極連接一上加熱器,在該沉積製程中,該上加熱器對該上電極進行加熱,以致使沈積均勻。
  8. 如請求項1所述的方法,其中該下電極連接一下加熱器,在該沉積製程中,該下加熱器對該下電極進行加熱,以致使沈積均勻。
  9. 如請求項1所述的方法,其中該高電阻層包括一第一多晶矽層及一第一導電化合物層,該低電阻層包括一第二多晶矽層及一第二導電化合物層,該第二多晶矽層的厚度大於該第一多晶矽層,該第二導電化合物層的厚度大於該第一導電化合物層。
  10. 如請求項1所述的方法,其中該堆疊層的層數大於20,每一該堆疊層中,該介電層位於該導體層上方,或該導體層位於該介電層上方。
  11. 如請求項1所述的方法,其中該導體層是一P型半導體層或一N型半導體層,該介電層是一氧化物層。
  12. 如請求項1所述的方法,其中該溝槽的一寬度介於45 nm至65 nm之間。
  13. 如請求項1所述的方法,其中該矽基板的厚度介於520 nm至580 nm之間,該第一氧化層的厚度介於90 nm至110 nm之間,該介電層的厚度介於18 nm至22 nm之間,該導體層的厚度介於27 nm至33 nm之間。
  14. 一種半導體裝置,包括: 一基板,該基板包括一矽基板及一第一氧化層,該第一氧化層位於該矽基板上; 多個堆疊層沉積於該基板之上,每一該堆疊層包括一介電層及一導體層; 至少一溝槽,該至少一溝槽通過圖案化的一光阻層蝕刻該些堆疊層而形成,該溝槽的底部暴露該第一氧化層; 一第二氧化層,沉積於該些堆疊層及該至少一溝槽上,其中使用一電漿輔助原子層沈積裝置沉積該第二氧化層,該電漿輔助原子層沈積裝置包括一腔室、一上電極及一下電極,該上電極位於該腔室的上方並連接一第一射頻功率裝置及一第二射頻功率裝置,該上電極包括多個噴頭,該第一射頻功率裝置用於讓該上電極的該些噴頭產生一電漿,該第二射頻功率裝置用於清潔該些噴頭,該下電極設置於該腔室的下方並連接一第三射頻功率裝置,該基板設置於該下電極上以進行一沉積製程; 一高電阻層,該高電阻層使用該電漿輔助原子層沈積裝置沉積於該第二氧化層上;以及 一低電阻層,該低電阻層使用該電漿輔助原子層沈積裝置沉積於該高電阻層上。
TW109137882A 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法 TWI753633B (zh)

Priority Applications (5)

Application Number Priority Date Filing Date Title
TW109137882A TWI753633B (zh) 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法
JP2021175972A JP7263484B2 (ja) 2020-10-30 2021-10-27 プラズマ支援原子層堆積技術を用いて製造された半導体デバイス及びその方法
CN202111258113.8A CN114446801A (zh) 2020-10-30 2021-10-27 利用等离子体辅助原子层沉积技术制造的半导体装置及其方法
US17/513,055 US20220139701A1 (en) 2020-10-30 2021-10-28 Method for manufacturing semiconductor device using plasma-enhanced atomic layer deposition
DE102021128387.6A DE102021128387A1 (de) 2020-10-30 2021-10-29 Verfahren zur herstellung eines halbleiterbauelements durch plasmagestützte atomschichtabscheidung und damit hergestelltes halbleiterbauelement

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109137882A TWI753633B (zh) 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法

Publications (2)

Publication Number Publication Date
TWI753633B true TWI753633B (zh) 2022-01-21
TW202217951A TW202217951A (zh) 2022-05-01

Family

ID=80809288

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109137882A TWI753633B (zh) 2020-10-30 2020-10-30 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法

Country Status (5)

Country Link
US (1) US20220139701A1 (zh)
JP (1) JP7263484B2 (zh)
CN (1) CN114446801A (zh)
DE (1) DE102021128387A1 (zh)
TW (1) TWI753633B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150162185A1 (en) * 2013-12-11 2015-06-11 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20190116656A1 (en) * 2017-10-18 2019-04-18 Lam Research Corporation Matchless Plasma Source for Semiconductor Wafer Fabrication
US20190341256A1 (en) * 2018-05-07 2019-11-07 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08157295A (ja) * 1994-12-01 1996-06-18 Crystal Device:Kk 薄膜形成方法
JP5390918B2 (ja) 2009-04-14 2014-01-15 シャープ株式会社 不揮発性半導体記憶装置とその製造方法
JP5641779B2 (ja) 2010-05-18 2014-12-17 株式会社日立製作所 不揮発性記憶装置およびその製造方法
JP2013175570A (ja) 2012-02-24 2013-09-05 National Institute Of Advanced Industrial & Technology 半導体記憶装置およびその製造方法
KR20140135202A (ko) 2012-03-15 2014-11-25 도쿄엘렉트론가부시키가이샤 성막 장치
WO2016101246A1 (zh) 2014-12-26 2016-06-30 中国科学院微电子研究所 一种自选通阻变存储器单元及其制备方法
US9455261B1 (en) * 2015-07-10 2016-09-27 Micron Technology, Inc. Integrated structures
KR20180063345A (ko) 2015-10-26 2018-06-11 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
JP2019054173A (ja) 2017-09-15 2019-04-04 東芝メモリ株式会社 記憶装置
JP2020027873A (ja) 2018-08-10 2020-02-20 キオクシア株式会社 半導体装置
CN111524788B (zh) * 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020155718A (ja) 2019-03-22 2020-09-24 東京エレクトロン株式会社 基板処理装置の汚染処理方法、及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150162185A1 (en) * 2013-12-11 2015-06-11 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20190116656A1 (en) * 2017-10-18 2019-04-18 Lam Research Corporation Matchless Plasma Source for Semiconductor Wafer Fabrication
US20190341256A1 (en) * 2018-05-07 2019-11-07 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning

Also Published As

Publication number Publication date
CN114446801A (zh) 2022-05-06
TW202217951A (zh) 2022-05-01
JP2022074076A (ja) 2022-05-17
DE102021128387A1 (de) 2022-05-05
US20220139701A1 (en) 2022-05-05
JP7263484B2 (ja) 2023-04-24

Similar Documents

Publication Publication Date Title
TWI672740B (zh) 用於圖案化的遮罩蝕刻
TWI637426B (zh) 單一平台多循環之間隔物沉積與蝕刻
JP6602370B2 (ja) 均一なプラズマ処理のためのノズル
TWI630654B (zh) 使用遠端電漿源以凹陷超低k介電質
TWI603373B (zh) 在氮化矽間隔物蝕刻期間之蝕刻選擇比的改良方法
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
TW201901746A (zh) 基本無缺陷的多晶矽閘極陣列
TWI753633B (zh) 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法
US11373880B2 (en) Creating different width lines and spaces in a metal layer
TWI804573B (zh) 基板處理之方法與系統
CN102194735A (zh) 一种形成通孔的方法
TWI742902B (zh) 利用電漿輔助原子層沉積技術製造半導體裝置的方法
KR20240027794A (ko) 반도체 처리 챔버 어댑터
TWI784335B (zh) 三維半導體二極體裝置的製造方法
US20230094212A1 (en) Plasma etch process for fabricating high aspect ratio (har) features
US10305029B1 (en) Image reversal process for tight pitch pillar arrays
TW201824390A (zh) 用於自對準多重圖案化技術之間隔件形成
TW202412101A (zh) 蝕刻方法及電漿處理裝置
CN113257670A (zh) 蚀刻方法和等离子体处理装置
TW202307951A (zh) 用於積體電路的蝕刻方法
TW202404425A (zh) 電漿處置系統
CN112864000A (zh) 从衬底斜面边缘区域去除金属沉积物的方法以及使用该方法的设备
CN113363149A (zh) 半导体器件的形成方法
KR20050002417A (ko) 반도체 소자의 금속 배선 형성 방법