TWI603373B - 在氮化矽間隔物蝕刻期間之蝕刻選擇比的改良方法 - Google Patents

在氮化矽間隔物蝕刻期間之蝕刻選擇比的改良方法 Download PDF

Info

Publication number
TWI603373B
TWI603373B TW104118690A TW104118690A TWI603373B TW I603373 B TWI603373 B TW I603373B TW 104118690 A TW104118690 A TW 104118690A TW 104118690 A TW104118690 A TW 104118690A TW I603373 B TWI603373 B TW I603373B
Authority
TW
Taiwan
Prior art keywords
etching
substrate
gas
feature
flow rate
Prior art date
Application number
TW104118690A
Other languages
English (en)
Other versions
TW201611087A (zh
Inventor
艾洛克 蘭傑
布雷克 帕金森
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201611087A publication Critical patent/TW201611087A/zh
Application granted granted Critical
Publication of TWI603373B publication Critical patent/TWI603373B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)

Description

在氮化矽間隔物蝕刻期間之蝕刻選擇比的改良方法
【相關技術領域之交互參照】
(無)
本發明係關於半導體元件中的特徵部之製造,包括透過蝕刻與沉積處理之製造。
半導體元件的製造為在半導體基板或在其他基板上形成特徵部的多步驟處理。步驟可包括材料成長、圖案化、摻雜、沉積、蝕刻、金屬化、平坦化、以及其他。在基板上形成的特徵部包括多種電晶體。電晶體可為平面型或非平面型、且亦可具有單一閘極或複數閘極。在該者中,非平面型電晶體(有時被稱為3D電晶體)包括FinFET(鰭式場效電晶體)。此種非平面型電晶體典型地包括垂直定向、或突起的鰭片(fin),其作為源極與汲極之間的通道。該閘極亦為垂直定向、或突起的,且位於該鰭片上方(在該鰭片之頂部上,並圍繞鰭片之側壁)。此種非平面型電晶體可具有複數個鰭片、及/或複數個閘極。平面型電晶體亦具有組合的高度,但非平面型電晶體的相對高度,通常大於平面型電晶體的相對高度。
半導體元件的製造,通常包括沉積間隔物、及/或虛擬(dummy)材料,以幫助建構已知的特徵部設計,包括非平面型電晶體上的特徵部。側壁間隔物通常界定於非平面型電晶體以改善閘極的功能性。隨著電晶體閘極的尺寸持續縮小,閘極與接點(contact)之間、以及閘極與源/汲極(S/D)平面之間的邊緣電容值隨之增加。為了消除此種邊緣電容值的增加,使用低介電常數介電材料作為間隔物材料。間隔物的成功與否,受到間隔物之蝕刻處理的影響,其會影響間隔物的介電常數、以及間隔物的覆蓋度。
在電晶體閘極的製造期間,將間隔物材料保形地(conformally)施加在電晶體閘極以及其他結構上(例如藉由原子層沉積),然後將其局部移除,以在電晶體閘極之側壁上形成側壁間隔物。此局部移除步驟,規定將間隔物材料從其他結構上移除,但將間隔物材料留在閘極或閘極側壁上。此種局部移除,典型地係使用一或更多的蝕刻處理來執行,但因為不同高度的特徵部被同時地蝕刻、以及間隔物材料的厚度,故具有挑戰性。
具有不同高度的特徵部代表了,有些位置上的某間隔物材料,比起在其他位置上的間隔物材料,將更快地被蝕穿至下層材料。再者,由於撞擊(striking)已知基板的蝕刻劑的典型地非等向性方向,從水平表面可更快地蝕刻(清除) 間隔物材料,但垂直表面上的間隔物材料需要實質上較長的蝕刻處理時間。在垂直定向的間隔物材料被移除的同時,因為下層的水平表面暴露了一段相對長的時間,所以已知蝕刻處理應具高度選擇比,俾不破壞或蝕刻下層的材料。在一普通的範例中,需將間隔物材料從鰭片周圍選擇性地移除,而不破壞或蝕刻該等鰭片本身。將保形薄膜從鰭片周圍移除,以準備在該等區域上成長碳化矽與鍺化矽。若未將所有保形薄膜從該等鰭片周圍移除,則無法達到所期望的電性效能。
在非平面式製造方案中,選擇比的必要條件係非常具挑戰性的,因為相較於平面式方案之蝕刻,將間隔物材料從鰭片之側壁上清除所需要的過蝕刻總量、或持續時間係非常長的。相較於平面式電晶體的大約20-30%的過蝕刻時間,此過蝕刻總量為150%到200%的過蝕刻時間。保形薄膜需要被移除,但不蝕刻鰭片(或實質上蝕刻該薄膜)。然而,由於典型地係需要如此長的過蝕刻時間,要避免破壞或蝕刻鰭片係困難的。
本文中之技術包括增加材料之間的蝕刻選擇比的方法。本文中之技術包括氮化矽(SiN)間隔物與矽(例如多晶矽)的蝕刻與氧化之循環處理。此技術可提高對矽的選擇比,使得矽較不能被蝕刻或被破壞,但氮化矽則從側壁上被蝕刻掉。比起對氮化矽,本文中揭露之技術與化學品對氧化矽與矽更具選擇比。一氧化步驟在矽之表面產生氧化物保護薄膜,其相較於氮化物之表面上所形成的任何氧化物薄膜係更厚的。因此,本文中之技術能夠更佳地移除氮化矽與氮化矽間隔物材料。
一實施例包括蝕刻基板上的特徵部之方法。該方法包括在電漿處理腔室中的基板固持器上放置基板。該基板具有覆蓋該基板上之結構的氮化矽薄膜。使第一處理氣體混合物流進該電漿處理腔室中。該第一處理氣體混合物包括含鹵素氣體。使第二處理氣體混合物流進該電漿處理腔室中。該第二處理氣體混合物包括含氧氣體。使用該第一處理氣體混合物以及該第二處理氣體混合物來產生並維持電漿。改變該第一處理氣體混合物之流速、以及該第二處理氣體混合物之流速,使得該含鹵素氣體對該含氧氣體的氣體流量比例,在第一氣體比例(gas ratio)與第二氣體比例之間波動。將電漿之產物非等向性地引導至該基板。因此,改良了氮化矽 (SiN)與矽之間的選擇比。
當然,為了明確性的緣故,已如所述般提出相異步驟之討論順序。整體上,可依任何適合的順序執行該等步驟。此外,雖然相異的各個特徵、技術、設置等,在此可能於本發明的不同地方被討論,吾人意欲各觀念可個別單獨地或彼此結合地去執行。因此,本發明可用許多不同的方式,去實施以及看待。
注意此發明內容段落,未詳細說明每個實施例、及/或本發明或所主張發明的增值地新穎性樣態。替代地,本發明內容僅提供不同實施例的基礎討論,以及超越先前技術的新穎性之對應點。對於本發明與實施例的額外細節及/或可能的觀點,讀者請參考如以下進一步所討論之實施方式部分以及本發明的相應圖式。
本文中之技術包括增加材料之間的蝕刻選擇比的方法。本文中之技術包括氮化矽(SiN)間隔物與矽(例如多晶矽)的蝕刻與氧化之循環處理。此技術可提高對矽的選擇比,使得矽較不能被蝕刻或被破壞,但氮化矽則從側壁及其他表面上被蝕刻掉。比起對氮化矽,本文中揭露之技術與化學品對氧化矽與矽更具選擇比。一氧化步驟在矽之表面產生氧化物保護薄膜,其相較於氮化物之表面上所形成的任何氧化物薄膜係更厚的。因此,本文中之技術能夠更佳地移除氮化矽與氮化矽間隔物材料。
能夠改良氮化矽之移除,某種程度上係根據本文中之發現:相較於氮化矽的氧化反應,矽材料(多晶矽)以更快及/或更激烈(thicker)之速度氧化。因此,本文中之方法包括下列過程:蝕刻一部分的間隔物材料、之後接續相對短暫的一氧化步驟、然後返回蝕刻接續之部分的間隔物材料。重複執行此蝕刻-氧化循環,直到符合設計規範,例如將保形間隔物材料從已知的結構周圍上移除。換句話說,循環地進行蝕刻作業階段及之後的保護階段。相較於習知的方法,此種方法之結果以及本文中之發現,包括更佳的蝕刻選擇比、以及剩下更多鰭片材料的未覆蓋之鰭狀結構。
圖1為承載著保護層的材料的橫剖面示意範例。範例中,材料110可包括多晶體矽,通常被稱為多晶矽或矽。材料115可包括氮化矽,其通常使用微製程技術而作為間隔物材料。在已知的電漿處理系統中之相似或同樣的條件下,在該兩者材料上形成保護層121。然而應注意的係,相較於在氮化矽材料上的形成,保護層121以更快的速度、或更大的厚度,在矽材料上形成。該保護層可為氧化物層,典型為氟化氧化矽(SiOF)。保護層121之不同厚度代表了,在一已知的蝕刻階段或步驟期間,覆蓋氮化物材料的保護層將快速地被移除,但覆蓋矽材料的保護層將以較慢的速率被移除(蝕刻掉),藉此能較長時間地保護矽材料。
氮化矽與矽之間的改良蝕刻選擇比,可使用含鹵素化學品或含氧化學品的氣體脈衝(gas pulsing)來達到,故對應電漿中的相對氧氣總量,可在相對較低的氧氣總量與相對較高的氧氣總量之間波動。用於產生與饋送電漿的例示性化學品,包括CH3F、O2、以及一或更多的載氣。蝕刻技術包括,在一基板處理方法之蝕刻階段或時期、以及該基板處理方法之氧化階段或時期之間轉換。在標記為氧化的階段中,相較於在暴露的氮化物材料表面上的形成作用,SiOF層或薄膜更容易形成在暴露的矽材料上。因此,比起在氮化物之表面上,有更厚的SiOF氧-碳氟化合物層/薄膜在矽表面上形成。在典型地較短暫的氧化時期(相較於該蝕刻時期)之後,繼續一蝕刻步驟,以蝕刻穿過覆蓋氮化物的含氟氧化物薄膜,然後繼續蝕刻更多的氮化物材料本身。如本文中揭露的,在循環性蝕刻處理的蝕刻時期中,此種含氟氧化物薄膜可更佳地保護矽表面。例如,該含氟氧化物薄膜可維持一厚度,足以在至少一部分的已知蝕刻時期中保護矽。在一些實施例中,該氧化物薄膜足夠厚,讓至少一部分的該氧化物薄膜在整個已知蝕刻時期中能留在矽表面上。在其他實施例中,該氧化物薄膜在一部分的蝕刻時期中保護矽表面,然後該矽材料被暴露到該蝕刻時期的剩餘期間。一般選擇已知的蝕刻化學品,以本質地提供氮化矽與矽、以及氮化矽與氧化矽之間的蝕刻選擇比,但此蝕刻選擇比一般並非理想的。因為具有氧化物薄膜之保護(在至少一部分的已知蝕刻時期中有用),總蝕刻選擇比可被改良。
已知的微製程處理的設計規範,及/或已知的電漿處理系統之性能,可影響實際的流速、流動時間、以及其他蝕刻參數。以不受限制的例子說明,在40 mT 的壓力、1200MW的功率、70W的偏壓功率下,在一電漿處理系統中執行已知的蝕刻處理,而該處理系統維持在約80℃下。現參考圖2,例示性化學品包括110標準毫升數(sccm)的Ar、55sccm的CH3F、以及O2在33sccm與55sccm之間波動。因此,方法包括變動氧氣含量,而該氧氣被饋送至已知的基板上方的電漿處理空間中。應注意的係,該等流速僅為例示性,且可根據電漿處理腔室的尺寸、及/或被處理的已知的基板的尺寸而改變。可透過提高或降低氧氣之流速來完成牽動相對氧氣總量。替代地,可透過增加或減少在對應電漿處理系統內的其他蝕刻化學品來牽動相對氧氣含量(氧氣的比例)。
圖4為一曲線圖,圖解氮化矽對多晶矽的蝕刻選擇比比例,以及氮化矽對氧化矽的蝕刻選擇比比例,而例示性CH3F之流速為55sccm。應注意的係,在大約該曲線圖的中央部分(O2的流量大約33sccm),一般的蝕刻選擇比在其最高點。如可見於氧化狀態部分,隨著O2的比例增加(且維持在更高的流速下),蝕刻選擇比急遽地下降,並扼止了蝕刻進度。在該曲線圖的另一側,若針對此特定的CH3F流速,O2的流速下降至30sccm以下,則出現更多的碳基聚合物沉積,其降低了蝕刻選擇比,且亦使蝕刻進度變慢。若O2流量在中間30幾sccm,則可達到10.2:1的蝕刻選擇比,因為氮化矽以比蝕刻矽的速率快10倍的速率被蝕刻。使用本文中之技術,透過循環地提高O2流量,然後將O2流量回歸一基線流速值,可將蝕刻選擇比提高至12.2。同樣的,氮化物對氧化物的選擇比可從約7.4:1的比例提高到約9.7:1。以不受限制的範例說明,33sccm的O2可流動大約5秒,之後接續增加O2流量至55sccm大約1秒,然後將O2流量回歸33sccm。在另一實施例中,增加O2流量之操作,可為每3秒蝕刻時間執行1秒、每10秒蝕刻時間執行1秒、以及其他。增加的O2流速可視被處理的特殊晶圓而定。針對此特殊範例,可選擇O2流量具有一數值在45及65sccm之間、或其他數值。因此,流速可利用多個步驟點,且循環時間以及脈衝持續時間可以被改變。應注意的係,流速可視已知的電漿處理系統的尺寸而定。在一些實施例中,流量比例可與尺寸無關。因此,例示性流量比例包括,在蝕刻時期,含鹵素氣體對氧氣之比例約1.5:1,之後接著在氧化時期,氣體比例約1:1。脈衝處理方式可實施為有斜率的改變或急遽改變(正弦波或方波)。此種顯著的蝕刻選擇比改良代表了,例如,在過蝕刻處理期間更佳的蝕刻選擇比。
在已知的微製程技術中,對非平面型電晶體結構而言,其他材料的表面可能被暴露。舉例來說,在鰭狀結構之下方普遍地有氧化矽(埋入氧化物)。這表示用於蝕刻氮化矽的已知的化學品,必須對Si以及氧化矽兩者皆具選擇比。如圖4中所呈現般,在氮化矽以及氧化矽之間存在蝕刻選擇比。因此,本文中描述之蝕刻選擇比改良,並不限於矽,亦有利於氧化矽材料。維持在鰭狀結構底下的氧化物材料的整體性,有利於後續的處理,例如在鰭片周圍成長碳化矽或鍺化矽。在一些實施例中,在氧化矽上所形成的含氟氧化物薄膜,相較於在矽材料上所形成者,可能並非一樣厚。
現參考圖3,本文中之實施例包括蝕刻基板上之特徵部的方法。在步驟310,將一基板放置在電漿處理腔室中的基板固持器上。例如,將一半導體晶圓放置在一電漿處理腔室中。電漿處理腔室的許多類型為已知的,且可和本文中之方法一起使用。該基板包括覆蓋著該基板上的結構的氮化矽薄膜或其他薄膜。氮化矽可保形地覆蓋該基板上的結構,包括具有不同高度的結構,例如鰭片與閘極結構。可使用原子層沉積處理、或其他沉積技術來沉積氮化矽間隔物材料。
在步驟320,將第一處理氣體混合物流進電漿處理系統中。該第一處理氣體混合物包括含鹵素氣體。該含鹵素氣體包括氫氟碳化合物。在一些實施例中,該氫氟碳化合物氣體可表示成CxHyFz,其中x、y、及z為非零值。在其他實施例中,該氫氟碳化合物氣體可為CH3F。可透過許多流動方法,例如中央及/或邊緣流動、或噴淋頭分配,使此氣體混合物流進該電漿處理系統中。
在步驟330,使第二處理氣體混合物流進該電漿處理系統中。該第二處理氣體混合物包括含氧氣體。例如,該含氧氣體包括O2。實施例亦可包括一或更多的載氣,例如氬氣或氦氣。
在步驟340,使用該第一處理氣體混合物以及該第二處理氣體混合物作為產生電漿的材料,以點燃並維持電漿。例如,可藉由將射頻功率耦合至該電漿處理系統來激發處理氣體,俾維持電漿。
在步驟350,改變該第一處理氣體混合物之流速、或該第二處理氣體混合物之流速,使得含鹵素氣體對含氧氣體的氣體流量比例,在第一氣體比例與第二氣體比例之間波動。換句話說,可脈衝(pulse)處理氣體混合物之一者,而處理氣體混合物之流速,隨之在較小流速與較大流速之間改變。以具體範例說明,在已知的蝕刻處理之持續時間中,可循環性地提高或降低含氧氣體的流速。將處理氣體混合物之一者的流速提高與降低,影響含鹵素氣體對含氧氣體的流速比例。因此,可改變流速,使得氮化物對矽的總蝕刻選擇比大於11:1,且甚至可大於12:1。在一些實施例中,已知的氣體流量比值可在約1.5:1與1:1之比值之間波動。
在另一實施例中,改變氣體流量比例,包括具有一蝕刻階段,其中含鹵素氣體流量的sccm(標準毫升數/分鐘)數值,大於含氧氣體流量的sccm數值,而該蝕刻階段之後接續一氧化階段,其中含鹵素氣體流量的sccm數值,約等於含氧氣體流量的sccm數值。在該氧化階段中,含氧氣體流量的sccm數值於流速上可充足的提高,以使含氟氧化矽層在暴露的矽表面上形成。在另一實施例中,該氧化階段具有足夠的一段持續期間,可在暴露的矽表面上形成SiOF層,其具有足以在蝕刻階段保護矽表面之厚度,且其中該氧化階段小於一段特定持續期間,該特定持續期間可在暴露的氮化矽表面上形成SiOF層,其厚度足以在該蝕刻階段保護氮化物表面。換句話說,可設定該氧化階段為預定的持續期間,使氧化物薄膜能夠在矽表面上形成,但不允許氧化物薄膜在氮化矽表面上形成一厚度,而可能避免或停止後續的蝕刻。比起在氮化矽表面,SiOF可以更快的速率在矽表面上形成。該氧化階段的持續期間取決於結構大小、臨界尺寸、以及蝕刻處理參數。在例示性蝕刻順序中,氧化階段可為各相應蝕刻階段之持續時間的三分之一、五分之一、十分之一等。
在步驟360,將電漿的產物(例如離子物種)非等向性地引導至基板。此可透過將偏壓功率耦合到電漿處理系統的下部電極或基板固持器來完成。可持續此種蝕刻技術,直到將各種基板結構(例如鰭狀結構)的氮化矽側壁移除為止,但將氮化矽留在FinFET元件的閘極側壁結構的至少一部分上。
現參考圖6,呈現習知的FinFET(鰭式場效電晶體)元件100之片段的透視圖。此特定的FinFET元件呈現底層的基板105上方的閘極150以及鰭片160。在製造電晶體閘極時,將間隔物材料保形地施加在電晶體閘極上,然後將其局部移除,以在該電晶體閘極的側壁上形成側壁間隔物,但將其完全地從鰭片周圍移除。因為氮化矽被垂直向地蝕刻,而其速度與在鰭狀結構周圍被蝕刻的氮化矽一致,所以典型地將下拉(pull down)閘極的間隔物材料。可讓閘極的間隔物剩下足夠的高度,此係由於閘極結構與鰭狀結構之間的高度差,亦因為使用一或更多的蓋部材料(cap materials)或遮罩,來延伸閘極的高度、並在製造期間提供對閘極結構本身的保護、及/或提供犧牲片段(沿著該者的間隔物下拉為被容許的)。因此,例示性蝕刻處理之目標在於,將所有垂直向間隔物材料從鰭片上移除,但留下一部分的垂直向間隔物材料在閘極上。
明顯的係,待移除的保形材料的總量,視已知的FinFET元件之相對高度而定。在一不受限制的範例中,過蝕刻步驟需要移除大約25-40nm的垂直定向保形薄膜,其在需要被移除的已知的電晶體的鰭片上。將保形薄膜從水平表面(包括鰭片之頂部、以及鰭片之間的表面)上移除係相對快速的,因為保形薄膜(相對於非等向性蝕刻方向)可僅有幾奈米的厚度。因此,水平表面可非常快速地被清除,然後在主蝕刻及/或過蝕刻處理(用以將保形薄膜材料從鰭片之側壁上清除)之持續期間中暴露到蝕刻劑。圖7呈現一例示性結果,描繪如本文所揭露般完成循環脈衝式蝕刻-氧化順序之後的FinFET元件100。應注意的係,保形間隔物材料已被從鰭片160周圍上移除,但保形側壁間隔物留在閘極150的垂直向表面上。
可使用習知的電漿處理系統的一或更多的類型,來執行本文中揭露的方法。圖5呈現例示性電漿處理系統之示意圖,該系統可與本文中揭露之方法一起使用。電漿處理系統500配置以完成前文所確認之加工條件,且其包括電漿處理腔室510、基板固持器520,而待處理之基板525附加其上、以及真空幫浦系統550。基板525可為半導體基板、晶圓、平板顯示器、或液晶顯示器。電漿處理腔室510可配置以促進電漿在電漿處理區域545中產生,而電漿處理區域545在基板525之表面周圍。可透過氣體分配系統540引入游離氣體或處理氣體混合物。針對已知的處理氣體之流量,可使用真空幫浦系統550來調整製程壓力。可利用電漿來產生材料(針對預先決定材料的處理)、及/或幫助將材料從基板525之暴露表面移除。電漿處理系統500可配置以處理具有任何所期望之尺寸的基板,例如200mm的基板、300mm的基板、450mm者、或更大者。
可透過卡盤系統528,例如機械式卡盤系統或電子式卡盤系統(例如靜電式卡盤系統),將基板525附加到基板固持器520上。並且,基板固持器520可包括加熱系統(未顯示)或冷卻系統(未顯示),配置以調整及/或控制基板固持器520與基板525的溫度。
此外,可透過背側氣體供應系統526,將熱量傳送氣體輸送到基板525的背面,以改善基板525與基板固持器520之間的氣體間隙的熱傳導性。當要求基板之溫度控制在昇高或下降的溫度下時,可使用此種系統。舉例來說,背側氣體供應系統可包括二區域式氣體分配系統,其中氦氣的氣體間隙壓力,可在基板252的中央與邊緣之間獨立地改變。
基板固持器520可包括電極522,而RF功率可透過電極522而耦合至在電漿處理區域545中的電漿。舉例來說,透過RF功率之傳輸(從RF產生器530、通過取捨性阻抗匹配網路532、到基板固持器520),可將基板固持器520以一RF電壓值而電性地加偏壓, RF電性偏壓可用於加熱電子,以形成並維持電漿。在此配置中,該系統可操作為活性離子蝕刻(RIE)反應器,其中將該腔室與一上部氣體注入電極作為接地面。一般用於RF偏壓的頻率範圍從約0.1MHz到約100MHz。用於電漿處理的RF系統,對於本技術領域中具有通常知識者而言係已知的。
再者,在一RF電壓值的電極522的電性偏壓,可使用脈衝式偏壓訊號控制器531而脈衝。舉例來說,來自RF產生器530的RF功率輸出,可在關閉狀態與開啟狀態之間脈衝。替代地,可在多個頻率下將RF功率施加到基板固持器之電極。並且,阻抗匹配網路532可藉由減少反射功率,來改良RF功率到電漿處理腔室510 中的電漿的傳送。
氣體分配系統540可包括中央-邊緣分配,用於引入混合氣體。替代地,氣體分配系統540可包括噴淋頭設計,用於引入處理氣體的混合物。替代地,氣體分配系統540可包括多區域式噴淋頭設計,用於引入處理氣體的混合物、以及調整基板525上方的處理氣體的混合物之分布。舉例來說,該多區域式噴淋頭設計可配置以,相對於基板525上方的實質中央區域的處理氣體之流量或成分的量額來調整基板525上方的實質周圍區域的處理氣體之流量或成分。
控制器555包括微處理器、記憶體、以及數位I/O埠,其能夠產生控制電壓,而該控制電壓足以傳播並啟動對於電漿處理系統500的輸入、以及監控來自電漿處理系統500的輸出。再者,控制器555可耦合至RF產生器530、脈衝式偏壓訊號控制器531、阻抗匹配網路532、氣體分配系統540 、真空幫浦系統550 、以及基板加熱/冷卻系統(未顯示)、背側氣體供應系統526 、及/或靜電式卡盤系統528 ,並且與該者交換訊息。舉例來說,根據製程配方,可使用儲存在該記憶體中的程式,以啟動對於電漿處理系統500之上述構件的輸入,俾在基板525上執行電漿輔助式處理,例如電漿蝕刻處理。
電漿處理系統500可更包括固定式、或機械式、或電子式旋轉磁場系統(未顯示),而能夠增加電漿密度及/或改良電漿處理的均勻度。再者,控制器555可耦合至一磁場系統,以調節轉速及磁場強度。旋轉磁場系統之設計與實施,對於本技術領域中具有通常知識者而言係已知的。
電漿處理系統500可更包括上部電極570,而RF功率從RF產生器572、經過取捨性阻抗匹配網路574、而耦合至上部電極570。用於將RF功率施加到上部電極的頻率範圍從約0.1MHz到約200MHz。此外,用於將RF功率施加到下部電極的頻率範圍從約0.1MHz到約100MHz。再者,控制器555可耦合至RF產生器572以及阻抗匹配網路574,以控制RF功率施加到上部電極570。
電漿處理系統500可更包括直流(DC)電源供應器590,其耦合至與基板525相對的上部電極570。上部電極570可包括一電極板。該電極板可包括含矽電極板。再者,該電極板可包括摻雜矽電極板。DC電源供應器590可包括可變DC電源供應器。此外,DC電源供應器590可包括雙極性DC電源供應器。
亦可使用已知的電漿處理系統的其他類型,來執行本文中揭露之技術。舉例來說,替代性電漿處理系統(未顯示)更包括感應線圈,而RF功率經過RF產生器、經過取捨性阻抗匹配網路,耦合至該感應線圈。RF功率從該感應線圈、經過一介電窗,而被感應式耦合到該電漿處理區域。用於將RF功率施加到該感應線圈之頻率範圍從約10MHz到約100MHz。相似地,用於將RF功率施加到卡盤之電極之頻率範圍從約0.1MHz到約100MHz。此外,可使用槽型法拉第屏蔽(Faraday shield)來減少該感應線圈與電漿處理區域中的電漿之間的電容式耦合。再者,可將一控制器耦合至該RF產生器以及該抗匹配網路,以控制功率施加到該感應線圈。
在另一替代性實施例中,電漿處理系統可包括為「螺旋」線圈或「平繞」線圈的感應線圈,其從上方與電漿處理區域交流,如同在一變壓器耦合式電漿(TCP)反應器中。感應耦合式電漿(ICP)來源、或變壓器耦合式電漿(TCP)來源的設計與實施,對於本技術領域中具有通常知識者而言係已知的。替代地,可使用電子迴旋共振(ECR,Electron cyclotron resonance)來形成電漿。在更另一實施例中,藉由發射螺旋波(helicon wave) 來形成電漿。在更另一實施例中,藉由傳播表面波來形成電漿。其他電漿處理系統可相似於圖5中的實施例,且可更包括表面波電漿(SWP)來源。該SWP來源可包括槽型天線,例如輻射線槽型天線,而微波功率經由功率耦合系統,耦合至該槽型天線。上述之各個電漿來源,對於本技術領域中具有通常知識者而言係已知的。
在前述中,已闡述具體細節,例如處理系統的具體幾何形狀,以及使用於此的多種元件與製程的敘述。然而,應瞭解本技術可在其他相異於該等具體細節的實施例中實行,且此類細節係用於解釋而非用於限制。本文所揭露之實施例已參考隨附圖式而描述。同樣地為了解釋,已提出具體數字、材料、以及形構,來提供全面性理解。然而,亦可實行實施例而毋須該等具體細節。用相似參考符號表示具有實質上相同功能性作用的元件,故省略了任何多餘的敘述。
多個技術被描述成許多分離的操作,以幫助了解該多個實施例。不應將敘述順序解釋為係意指該等操作必須按照順序。事實上,該等操作不需按呈現的順序執行。可依不同於上述實施例的順序執行上述操作。在其他實施例中,可實行多種額外的操作及/或省略上述操作。
使用於此之「基板」或「目標基板」,一般係指涉根據本發明而被處理的物件。該基板可能包括裝置的任何材料的部分或結構,特別係半導體或其他電子裝置,例如,該基板可為基座基板結構,例如半導體晶圓、或者疊加或在基座基板結構上的層(例如薄膜)。因此,基板不限於任何特定的基座結構、表面下的或疊加的層、圖案化或未圖案化的,相反的,吾人認為其包含任何此種層或基板結構,以及任何層及/或基板結構的結合。本敘述可能指涉特定類型的基板,但僅為例示性目的。
熟悉本技藝者亦能了解上方解釋的技術的操作,可做出多種變化,但仍達到本發明的相同目標。吾人意欲本發明的範圍涵蓋該等變化。因此,吾人意欲本發明之實施例的前述不受限制。相反地,對本發明的實施例的任何限制都呈現在下列申請專利範圍中。
100‧‧‧FinFET元件
105‧‧‧基板
110‧‧‧材料
115‧‧‧材料
121‧‧‧保護層
150‧‧‧閘極
160‧‧‧鰭片
310‧‧‧步驟
320‧‧‧步驟
330‧‧‧步驟
340‧‧‧步驟
350‧‧‧步驟
360‧‧‧步驟
500‧‧‧電漿處理系統
510‧‧‧電漿處理腔室
520‧‧‧基板固持器
522‧‧‧電極
525‧‧‧基板
526‧‧‧背側氣體供應系統
528‧‧‧卡盤系統
530‧‧‧RF產生器
531‧‧‧脈衝式偏壓訊號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分配系統
545‧‧‧電漿處理區域
550‧‧‧真空幫浦系統
555‧‧‧控制器
570‧‧‧上部電極
572‧‧‧RF產生器
574‧‧‧阻抗匹配網路
590‧‧‧DC電源供應器
關於本發明的多種實施例以及許多伴隨的好處,藉由參考結合隨附圖式而考量的下列詳細說明,其更完整的認識將立即變得明顯。該等圖式未必按比例繪製,相反地,其重點在於描繪特徵、原則和概念。
圖1為保護層成長在各種基板上的橫剖面示意圖。
圖2為一曲線圖,根據本文中揭露之實施例,圖解一蝕刻技術。
圖3為如本文中揭露之例示性方法的流程圖。
圖4為一曲線圖,圖解各種材料基於相對氧氣總量(relative oxygen amount)下的蝕刻選擇比。
圖5為用於實施本文中揭露之方法的電漿處理系統之示意圖。
圖6為具有保形薄膜的非平面型電晶體之透視圖。
圖7為具有已局部蝕刻的保形薄膜的非平面型電晶體之透視圖。
110‧‧‧材料
115‧‧‧材料
121‧‧‧保護層

Claims (18)

  1. 一種蝕刻基板上的特徵部之方法,該方法包括:在一電漿處理腔室中的一基板固持器上放置一基板,而該基板具有覆蓋該基板上之結構的氮化矽薄膜;使第一處理氣體混合物流進該電漿處理腔室中,而該第一處理氣體混合物包括含鹵素氣體;使第二處理氣體混合物流進該電漿處理腔室中,而該第二處理氣體混合物包括含氧氣體;使用該第一處理氣體混合物以及該第二處理氣體混合物來維持電漿;反復地改變該第一處理氣體混合物之流速、或反復地改變該第二處理氣體混合物之流速,使得該含鹵素氣體對該含氧氣體的氣體流量比例,在第一氣體比例與第二氣體比例之間反復地波動;以及將電漿之產物非等向性地引導至該基板。
  2. 如申請專利範圍第1項之蝕刻基板上的特徵部之方法,其中該含鹵素氣體包括氫氟碳化合物。
  3. 如申請專利範圍第2項之蝕刻基板上的特徵部之方法,其中該氫氟碳化合物氣體表示成CxHyFz,其中x、y、及z為非零值。
  4. 如申請專利範圍第3項之蝕刻基板上的特徵部之方法,其中該氫氟碳化合物氣體為CH3F。
  5. 如申請專利範圍第1項之蝕刻基板上的特徵部之方法,其中該含氧氣體包括O2
  6. 如申請專利範圍第1項之蝕刻基板上的特徵部之方法,更包括使載氣流進電漿處理系統中。
  7. 如申請專利範圍第6項之蝕刻基板上的特徵部之方法,其中該載氣為氬氣或氦氣。
  8. 如申請專利範圍第1項之蝕刻基板上的特徵部之方法,其中反復地改變該第一或第二處理氣體混合物之流速,包括反復地在一蝕刻階段及一氧化階段之間波動;在該蝕刻階段中,含鹵素氣體流量的sccm(標準毫升數/分鐘)數值,大於含氧氣體流量的sccm數值;而在該氧化階段中,含鹵素氣體流量的sccm數值,大約等於含氧氣體流量的sccm數值。
  9. 如申請專利範圍第8項之蝕刻基板上的特徵部之方法,其中在該氧化階段中,該含氧氣體流量的sccm數值足以使SiOF層在暴露的矽表面上形成。
  10. 如申請專利範圍第8項之蝕刻基板上的特徵部之方法,其中該氧化階段具有足以在暴露的矽表面上形成SiOF層的持續期間,該SiOF層具有足以在該蝕刻階段保護矽表面之厚度,且其中該氧化階段小於一段特定持續期間,其可在暴露的氮化矽表面上形成厚度足以在該蝕刻階段保護氮化物表面之SiOF層。
  11. 如申請專利範圍第8項之蝕刻基板上的特徵部之方法,其中該蝕刻階段至少比該氧化階段大3倍。
  12. 如申請專利範圍第1項之蝕刻基板上的特徵部之方法,其中可改變流速,使得氮化物對矽的總蝕刻選擇比大於11:1。
  13. 如申請專利範圍第1項之蝕刻基板上的特徵部之方法,其中改變該氣體流量比例,包括具有一比值在約1.5:1與1:1之間波動。
  14. 如申請專利範圍第1項之蝕刻基板上的特徵部之方法,其中該等結構包括相對於彼此具有不同高度的結構。
  15. 如申請專利範圍第14項之蝕刻基板上的特徵部之方法,其中該等結構包括FinFET結構的閘極結構與鰭狀結構。
  16. 如申請專利範圍第15項之蝕刻基板上的特徵部之方法,其中氮化矽保形地覆蓋該閘極結構與鰭狀結構。
  17. 如申請專利範圍第15項之蝕刻基板上的特徵部之方法,其中持續地將電漿的產物非等向性地引導至基板,直到將氮化矽從該鰭狀結構的側壁上移除,但至少在該閘極結構之側壁的一部分上,將氮化矽留下。
  18. 一種蝕刻基板上的特徵部之方法,該方法包括:在一電漿處理腔室中的一基板固持器上放置一基板,而該基板具有保形地覆蓋該基板上之結構的氮化矽薄膜,而該等結構包括FinFET結構的閘極結構與鰭狀結構;使第一處理氣體混合物流進該電漿處理腔室中,而該第一處理氣體混合物包括氫氟碳化合物氣體;使第二處理氣體混合物流進該電漿處理腔室中,而該第二處理氣體混合物包括含氧氣體;從該第一處理氣體混合物以及該第二處理氣體混合物來形成電漿;改變該第一處理氣體混合物之流速、或改變該第二處理氣體混合物之流速,使得該氫氟碳化合物氣體對該含氧氣體的氣體流量比例,在界定出蝕刻階段的第一氣體比例與界定出氧化階段的第二氣體比例之間波動,而該氧 化階段比起該蝕刻階段,具有更大的含氧氣體的比例使得SiOF保護層在該氧化階段於暴露的矽表面上形成,並且在該蝕刻階段產生對氮化矽的蝕刻,其中該蝕刻階段具有一持續時間,至少比該氧化階段之持續時間大3倍;以及將電漿之產物非等向性地引導至該基板,至少直到將氮化矽從鰭狀結構上移除。
TW104118690A 2014-06-11 2015-06-10 在氮化矽間隔物蝕刻期間之蝕刻選擇比的改良方法 TWI603373B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/301,698 US9318343B2 (en) 2014-06-11 2014-06-11 Method to improve etch selectivity during silicon nitride spacer etch

Publications (2)

Publication Number Publication Date
TW201611087A TW201611087A (zh) 2016-03-16
TWI603373B true TWI603373B (zh) 2017-10-21

Family

ID=54836757

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104118690A TWI603373B (zh) 2014-06-11 2015-06-10 在氮化矽間隔物蝕刻期間之蝕刻選擇比的改良方法

Country Status (3)

Country Link
US (1) US9318343B2 (zh)
KR (1) KR102356952B1 (zh)
TW (1) TWI603373B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9620417B2 (en) 2014-09-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of manufacturing fin-FET devices
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6670672B2 (ja) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 エッチング方法
WO2017210141A1 (en) * 2016-05-29 2017-12-07 Tokyo Electron Limited Method of sidewall image transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10068804B2 (en) * 2017-02-02 2018-09-04 Globalfoundries Inc. Methods, apparatus and system for providing adjustable fin height for a FinFET device
US10134600B2 (en) 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
TWI761461B (zh) * 2017-02-23 2022-04-21 日商東京威力科創股份有限公司 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
US11114564B2 (en) * 2018-08-21 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Ferroelectric MFM inductor and related circuits
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
CN113113302B (zh) * 2021-03-11 2024-05-03 武汉光迅科技股份有限公司 刻蚀方法及刻蚀设备
KR20230004014A (ko) * 2021-06-30 2023-01-06 삼성전자주식회사 반도체 소자 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130292805A1 (en) * 2012-05-02 2013-11-07 Globalfoundries Inc. Methods of forming spacers on finfets and other semiconductor devices
US20140011332A1 (en) * 2012-07-03 2014-01-09 Lingkuan Meng Method of manufacturing semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626716A (en) * 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6784108B1 (en) 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US7393788B2 (en) * 2006-02-10 2008-07-01 Cook Julie A Method and system for selectively etching a dielectric material relative to silicon
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
US9419106B2 (en) * 2011-09-30 2016-08-16 Intel Corporation Non-planar transistors and methods of fabrication thereof
US8809194B2 (en) * 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130292805A1 (en) * 2012-05-02 2013-11-07 Globalfoundries Inc. Methods of forming spacers on finfets and other semiconductor devices
US20140011332A1 (en) * 2012-07-03 2014-01-09 Lingkuan Meng Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20150364338A1 (en) 2015-12-17
KR102356952B1 (ko) 2022-01-27
TW201611087A (zh) 2016-03-16
US9318343B2 (en) 2016-04-19
KR20150142627A (ko) 2015-12-22

Similar Documents

Publication Publication Date Title
TWI603373B (zh) 在氮化矽間隔物蝕刻期間之蝕刻選擇比的改良方法
US10290506B2 (en) Method for etching high-K dielectric using pulsed bias power
TWI518797B (zh) 用於鰭式場效電晶體之深寬比依存的沉積以改善閘極間隔物輪廓、鰭損耗及硬遮罩損耗
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
TWI483305B (zh) 使用電漿脈衝來控制溝槽微負載的方法
KR101628593B1 (ko) 감소된 측벽 스페이서 슬림화를 갖는 고선택적 스페이서 에칭 공정
TWI514467B (zh) 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失
TW201630049A (zh) 乾電漿蝕刻時之選擇性的提升方法
TWI728178B (zh) 用於自對準多重圖案化方法與系統之原位間隔件再成形
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법
KR102349721B1 (ko) 탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법
TWI719257B (zh) 用於自對準多重圖案化技術之間隔件形成
US20230049714A1 (en) Etching methods for integrated circuits
CN107690694B (zh) 通过溢料添加进行部分蚀刻记忆
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer