KR102349721B1 - 탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법 - Google Patents

탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR102349721B1
KR102349721B1 KR1020180115048A KR20180115048A KR102349721B1 KR 102349721 B1 KR102349721 B1 KR 102349721B1 KR 1020180115048 A KR1020180115048 A KR 1020180115048A KR 20180115048 A KR20180115048 A KR 20180115048A KR 102349721 B1 KR102349721 B1 KR 102349721B1
Authority
KR
South Korea
Prior art keywords
tan
layer
passivation
etching
tan layer
Prior art date
Application number
KR1020180115048A
Other languages
English (en)
Other versions
KR20190035593A (ko
Inventor
빈 르옹
이사벨 크리스티나 츄
아심 두타
Original Assignee
도쿄엘렉트론가부시키가이샤
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190035593A publication Critical patent/KR20190035593A/ko
Application granted granted Critical
Publication of KR102349721B1 publication Critical patent/KR102349721B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

탄탈 질화물(TaN)에서 피처를 패터닝하기 위한 시스템 및 방법의 실시형태에 대해 설명한다. 일 실시형태에서, 본 방법은 TaN층을 포함하는 기판을 수용하는 단계를 포함할 수 있다. 또한, 본 발명은 기판을 에칭하여 TaN층의 적어도 일부를 노출시키는 단계도 포함할 수 있다. 추가적으로, 본 발명은 TaN층의 측면 에칭(lateral etching)을 감소시키기 위해 패시베이션 공정을 수행하는 단계를 포함할 수 있다. 본 발명은 TaN층을 에칭하여 그 내부에 피처를 형성하는 단계를 더 포함할 수 있고, 패시베이션 공정은 하나 이상의 타겟 패시베이션 목표를 충족하도록 제어된다.

Description

탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법{SYSTEMS AND METHODS FOR PATTERNING FEATURES IN TANTALUM NITRIDE (TaN) LAYER}
본 발명은 기판 처리 시스템 및 방법에 관한 것이며, 보다 구체적으로는 탄탈 질화물(TaN)에서 피처를 패터닝하기 위한 시스템 및 방법에 관한 것이다.
기술하는 실시형태는 로직 디바이스 및 반도체 메모리의 BEOL(backend-of-the-line) 패터닝을 위한 하드마스크로서 업계에서 사용하는 TaN의 플라즈마 처리에 관한 것이다. 이 플라즈마 처리는 다중막 에칭을 포함한다. 일부 디바이스의 경우, 막은 실리콘 함유 반사방지 코팅(SiARC)막, 탄소 평탄화(OPL)막, TEOS(tetraethoxysilane)막, 및 탄탈 질화물(TaN)막을 포함할 수 있다. 일부 시스템에서는, 용량성 결합 플라즈마 반응기를 사용하여 막이 에칭된다. 적용분야 및 타겟 처리 목표에 따라 플라즈마 반응기의 동작 파라미터가 달라질 수도 있지만, 이러한 일 시스템은 제1 전극에서의 60 MHz 고주파 RF 전력 및 제2 전극에서의 13.5 MHz 저주파 RF 전력으로 동작할 수 있다.
SF6 플라즈마로 TaN을 에칭할 때의 한가지 문제는 측벽의 등방성 에칭인데, 이것이 생성되는 피처의 임계 치수를 훼손시킬 수 있다. 몇몇 극한 상황에서, 생성된 피처는 치명적인 언더컷에 의해 파괴되거나 또는 임의의 최종 디바이스가 작동하지 않을 정도로 훼손될 수 있다.
탄탈 질화물(TaN)에서 피처를 패터닝하기 위한 시스템 및 방법의 실시형태들을 기술한다. 일 실시형태에서, 방법은 TaN층을 포함하는 기판을 수용하는 단계를 포함할 수 있다. 본 방법은 기판을 에칭하여 TaN층의 적어도 일부를 노출시키는 단계도 포함할 수 있다. 또한, 본 방법은 TaN층의 측면 에칭을 감소시키기 위해 패시베이션 공정을 수행하는 단계를 포함할 수 있다. 본 방법은 TaN층을 에칭하여 그 내부에 피처를 형성하는 단계를 더 포함할 수 있고, 패시베이션 공정은 하나 이상의 타겟 패시베이션 목표를 충족하도록 제어된다.
첨부하는 도면은 본 명세서에 통합되어 본 명세서의 일부를 구성하고, 본 발명의 실시형태를 도시하며, 전술한 본 발명의 일반적인 설명 및 이하의 상세한 설명과 함께 본 발명을 설명하는 역할을 한다.
도 1은 TaN층에서 피처를 패터닝하기 위한 시스템의 일 실시형태를 도시한다.
도 2a는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태를 나타낸다.
도 2b는 TaN층에서 피처를 패터닝하기 위한 방법의 다른 실시형태를 나타낸다.
도 3a는 패터닝된 워크피스를 처리하기 위한 워크플로우의 일 실시형태를 도시하는 단면도이다.
도 3b는 패터닝된 워크피스를 처리하기 위한 워크플로우의 일 실시형태를 도시하는 단면도이다.
도 3c는 패터닝된 워크피스를 처리하기 위한 워크플로우의 일 실시형태를 도시하는 단면도이다.
도 3d는 패터닝된 워크피스를 처리하기 위한 워크플로우의 일 실시형태를 도시하는 단면도이다.
도 3e는 패터닝된 워크피스를 처리하기 위한 워크플로우의 일 실시형태를 도시하는 단면도이다.
도 4a는 패터닝된 TaN층을 가진 워크피스의 일 실시형태를 도시하는 단면도이다.
도 4b는 패터닝된 TaN층을 가진 워크피스의 일 실시형태를 도시하는 단면도이다.
도 4c는 패터닝된 TaN층을 가진 워크피스의 일 실시형태를 도시하는 단면도이다.
도 5는 TaN층에 패터닝된 피처의 치수를 나타내는 치수도이다.
도 6a는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 6b는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 6c는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 6d는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 6e는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 6f는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 7a는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 7b는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 7c는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 8a는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
도 8b는 TaN층에서 피처를 패터닝하기 위한 방법의 일 실시형태에 따라 TaN층에 패터닝된 피처의 프로파일을 나타내는 단면도이다.
TaN를 패터닝하기 위한 방법 및 시스템을 기술한다. 일 실시형태에서, 본 방법은 메모리 디바이스 또는 유사한 BEOL 패턴의 일부를 형성하는 다층 스택 중 TaN층에서의 피처의 형성을 제어하는데 사용될 수 있다. 다양한 실시형태에서, 육불화황(SF6) 가스, 아르곤 가스, 삼염화붕소(BCl3) 가스, 및 브롬화수소(HBr) 가스 등을 포함하는 에칭 가스가 플라즈마 반응기 챔버에서 TaN층을 패터닝하는데 사용될 수 있다. 일 실시형태에서, 플라즈마 챔버는 용량성 결합 플라즈마 반응기일 수 있다. 온도, 압력 및 노출 시간을 포함한 추가 처리 파라미터가 TaN층에서의 패턴 형성을 제어하도록 조정될 수 있다.
당업자라면 특정 세부사항 중 하나 이상 없이, 또는 기타 대체 및/또는 추가 방법, 재료, 또는 구성요소로 다양한 실시형태들이 실시될 수 있음을 알 것이다. 다른 경우에 있어서, 잘 알려진 구조, 재료, 또는 동작은 본 발명의 다양한 실시형태의 불명확한 양상을 피하기 위해 상세하게 도시하거나 설명하지 않는다.
마찬가지로, 설명의 편의상, 본 발명의 면밀한 이해를 제공하기 위해 특정 수, 재료, 및 구성요소를 설명한다. 그렇지만, 본 발명은 특정 세부사항 없이도 실시될 수 있다. 또한, 도면에 나타내는 다양한 실시형태들은 예시적인 것일뿐, 반드시 축적에 따라 도시되지 않은 것임은 물론이다. 도면을 참조할 때에, 도면 전체에 걸쳐 같은 도면 부호는 같은 부분을 가리킨다.
본 명세서 전반에서 언급하는 "하나의 실시형태" 또는 "일 실시형태" 또는 이들의 변형예는 그 실시형태와 관련하여 설명한 특정 피처, 구조, 재료 또는 특성이 실시형태의 적어도 하나의 실시형태에 포함되는 것을 의미하지만, 그것들이 모든 실시형태에도 존재함을 나타내지는 않는다. 이에, 본 명세서 전반에 걸쳐서 다양한 곳에서의 "하나의 실시형태에 있어서" 또는 "일 실시형태에 있어서"라는 어구의 등장은 반드시 본 발명의 동일한 실시형태를 지칭하지는 않는다. 또한, 특정 피처, 구조, 재료, 또는 특성이 하나 이상의 실시형태에서 임의의 적절한 방식으로 조합될 수도 있다. 다른 실시형태에서는 다양한 추가 층 및/또는 구조가 추가될 수도 있고/있거나 설명한 피처가 생략될 수도 있다.
또한, "일" 또는 "하나"("a" 또는 "an")란 표현은 다른 식으로 명시적으로 언급하지 않는다면 "하나 이상"을 의미하는 것으로 이해되어야 한다.
다양한 동작들에 대해 본 발명을 이해하는데 있어서 가장 유용한 방식으로 다수의 개별 동작들로서 순서대로 설명될 것이다. 그러나, 설명의 순서는 이들 동작들이 반드시 순서에 종속되는 것을 암시하도록 해석되어서는 안 된다. 특히, 이들 동작은 반드시 제시 순서로 수행될 필요가 없다. 설명하는 동작들은 설명하는 실시형태와는 상이한 순서로 수행될 수도 있다. 다양한 추가 동작들이 수행될 수도 있고/있거나, 설명하는 동작들이 추가 실시형태에서는 생략될 수도 있다.
본 명세서에서 사용되는 용어 "기판"은 재료가 형성되는 기재 또는 구성을 의미하고 포함한다. 기판은 단일 재료, 상이한 재료의 복수 층, 또는 내부에 상이한 재료 또는 상이한 구조의 영역들을 갖는 층 또는 층들 등을 포함할 수 있음이 이해될 것이다. 이들 재료는 반도체, 절연체, 전도체, 또는 이들의 조합을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조 상의 베이스 반도체층, 금속 전극 또는 하나 이상의 층, 구조 또는 영역이 형성되어 있는 반도체 기판일 수도 있다. 기판은 반도체 재료의 층을 포함하는, 통상의 실리콘 기판 또는 기타 벌크 기판일 수 있다. 본 명세서에서 사용되는 용어 "벌크 기판(bulk substrate)"는 실리콘 웨이퍼뿐만 아니라, "SOS(silicon-on-sapphire)" 기판과 "SOG(silicon-on-glass)" 기판 등의 "SOI(silicon-on-insulator)" 기판, 베이스 반도체 파운데이션 상의 실리콘으로 된 에피택셜층, 및 실리콘-게르마늄, 게르마늄, 갈륨 비화물, 갈륨 질화물, 및 인듐 인화물 등의 기타 반도체 또는 광전 재료를 의미하며 포함한다. 기판은 도핑되거나 도핑되지 않을 수 있다.
도 1은 TaN을 패터닝하기 위한 시스템(100)의 일 실시형태이다. 다른 실시형태에서는, 도 2 내지 도 10을 참조하여 설명하는 바와 같이 TaN 재료의 패터닝을 수행하도록 시스템이 구성될 수도 있다. 전술한 공정 조건을 수행하도록 구성된 에칭 및 패시베이션 처리 시스템(100)은 처리 챔버(110), 처리 대상 웨이퍼(25)가 부착되는 기판 홀더(120), 및 진공 펌핑 시스템(150)을 포함하는 것으로 도 1에 도시되고 있다. 웨이퍼(125)는 반도체 기판, 웨이퍼, 플랫 패널 디스플레이, 또는 액정 디스플레이일 수 있다. 처리 챔버(110)는 기판(125)의 표면의 근방에서 처리 영역(145) 의 에칭을 용이하게 하도록 구성될 수 있다. 공정 가스의 이온화 가능 가스 또는 혼합물이 가스 분배 시스템(140)을 통해 도입된다. 주어진 공정 가스의 플로우에 대해, 진공 펌핑 시스템(150)을 이용해 공정 압력이 조정된다.
웨이퍼(125)는 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예컨대, 정전 클램핑 시스템) 등의 클램핑 시스템(도시 생략)을 통해 기판 홀더(120)에 부착될 수 있다. 또한, 기판 홀더(120)는 기판 홀더(120) 및 웨이퍼(125)의 온도를 조절 및/또는 제어하도록 구성되는 가열 시스템(도시 생략) 또는 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 냉각시 기판 홀더(120)로부터의 열을 받아서 열을 열 교환 시스템(도시 생략)을 전달하거나, 가열시 열 교환 시스템으로부터의 열을 기판 홀더(120)에 전달하는 열 전달 유체의 재순환 플로우를 포함할 수 있다. 다른 실시형태에서는, 저항성 가열 소자 또는 열-전기 히터/쿨러 등의 가열/냉각 소자가 기판 홀더(120)뿐만 아니라 플라즈마 처리 챔버(110)의 챔버 벽 및 플라즈마 처리 시스템(100) 내의 기타 구성요소에 포함될 수 있다.
또한, 열 전달 가스는 웨이퍼(125)와 기판 홀더(120) 사이에서 가스-갭 열 전도성을 향상시키기 위해 후면 가스 공급 시스템(126)을 통해 웨이퍼(125)의 후면으로 전달될 수 있다. 이러한 시스템은 승온 또는 감온에서 웨이퍼(125)의 온도 제어가 요구될 때 이용될 수 있다. 예를 들어, 후면 가스 공급 시스템은 헬륨 가스-갭 압력이 웨이퍼(125)의 중심과 가장자리 사이에서 독립적으로 변할 수 있는 2존(zone) 가스 분배 시스템을 포함할 수 있다.
도 1에 도시하는 실시형태에서, 기판 홀더(120)는 전극(122)을 포함할 수 있고, 이 전극을 통해 RF 전력이 처리 영역(145)에 결합된다. 예를 들어, RF 발생기(130)로부터 옵션적인 임피던스 정합 네트워크(132)를 통해 기판 홀더(120)로 RF 전력을 전송함으로써 기판 홀더(120)가 RF 전압에 전기적으로 바이어스될 수 있다. RF 전기 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하는 역할을 할 수 있다. 이 구성에서, 시스템(100)은 반응성 이온 에칭(RIE, reactive ion etch) 반응기로서 동작할 수 있으며, 여기에서 챔버 및 상부 가스 주입 전극은 접지면으로서 작용한다.
또한, RF 전압에서의 전극(122)의 전기 바이어스는 펄스형 바이어스 신호 컨트롤러(131)를 사용하여 펄싱될 수 있다. RF 발생기(130)로부터 출력된 RF 전력은 예컨대 오프 상태와 온 상태 사이에서 펄싱될 수 있다. 대안으로, RF 전력은 다중 주파수로 기판 홀더 전극에 인가된다. 또한, 임피던스 매칭 네트워크(132)는 반사된 전력을 감소시킴으로써 플라즈마 처리 챔버(110) 내의 플라즈마로의 RF 전력의 전송을 향상시킬 수 있다. 매칭 네트워크 토폴로지(예컨대, L타입, π타입, T타입 등) 및 자동 제어 방법은 해당 기술분야에 잘 알려져 있다.
가스 분배 시스템(140)은 공정 가스들의 혼합물을 도입하기 위한 샤워 헤드 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(140)은 공정 가스들의 혼합물을 도입하고 웨이퍼(125) 위에서의 이 공정 가스들의 혼합물의 분배를 조정하기 위한 멀티존(multi-zone) 샤워 헤드 설계를 포함할 수 있다. 예를 들어, 멀티존 샤워 헤드 설계는 웨이퍼(125) 위의 실질적으로 중심 영역에 대한 공정 가스 플로우 또는 조성의 양에 관하여, 공정 가스 플로우 또는 조성을 웨이퍼(125) 위의 실질적으로 주변 영역으로 조정하도록 구성될 수도 있다. 이 실시형태에서는, 챔버(110) 내에 고도로 균일한 플라즈마를 형성하도록 가스가 적절한 조합으로 분배될 수 있다.
진공 펌핑 시스템(150)은 초당 약 8000 리터(이상)까지 펌핑 속도를 높일 수 있는 터보 분자 진공 펌프("TMP")와 챔버 압력을 조절(throttling)하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 종래의 플라즈마 처리 디바이스에는, 초당 800 내지 3000 리터 TMP가 채택될 수 있다. TMP는 저압 처리에, 통상 약 50 mTorr 미만에 유용하다. 고압 처리(즉, 약 80 mTorr보다 높음)의 경우, 기계적 부스터 펌프 및 건식 러핑 펌프(dry roughing pump)가 이용될 수 있다. 또한, 챔버 압력을 모니터링하는 디바이스(도시 생략)가 플라즈마 처리 챔버(110)에 결합될 수 있다.
일 실시형태에서, 소스 컨트롤러(155)는 플라즈마 처리 시스템(100)으로부터의 출력을 모니터링할 뿐만 아니라 플라즈마 처리 시스템(100)에 입력을 전달 및 활성화시키기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트, 마이크로프로세서, 및 메모리를 포함할 수 있다. 또한, 소스 컨트롤러(155)는 기판 가열/냉각 시스템(도시 생략), 후면 가스 공급 시스템(126), 및/또는 정전 클램핑 시스템(128)뿐만 아니라 RF 발생기(130), 펄스형 바이어스 신호 컨트롤러(131), 임피던스 매칭 네트워크(132), 가스 분배 시스템(140), 전원(190), 및 진공 펌핑 시스템(150)에 결합될 수 있고, 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 웨이퍼(125) 상에, 플라즈마 에칭 공정 또는 가열 이후 처리 공정 등의 플라즈마 사용 공정(plasma assisted process)을 수행하기 위해 공정 레시피에 따라 플라즈마 처리 시스템(100)의 전술한 구성요소에 대한 입력을 활성화시키는데 이용될 수 있다.
또한, 플라즈마 처리 시스템(100)은 옵션적인 임피던스 매칭 네트워크(174)를 통해 RF 발생기(172)로부터의 RF 전력이 결합될 수 있는 상부 전극(70)을 더 포함할 수 있다. 일 실시형태에서, RF 전력을 상부 전극에 인가하기 위한 주파수는 약 0.1 MHz 내지 약 200 MHz의 범위일 수 있다. 한편, 본 실시형태는 GHz 주파수대에서 동작하도록 구성된 래디얼 라인 슬롯 안테나(RLSA) 소스, 유도성 결합 플라즈마(ICP) 소스, 용량성 결합 플라즈마(CCP) 소스, 서브-GHz 내지 GHz대에서 동작하도록 구성된 전자 사이클론 공진(ECR) 소스 등과 함께 이용될 수 있다. 또한, 하부 전극에 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 약 80 MHz의 범위일 수 있다. 또한, 소스 컨트롤러(155)는 상부 전극(170)에 대한 RF 전력의 인가를 제어하기 위해 RF 발생기(172) 및 임피던스 매칭 네트워크(174)에 결합된다. 상부 전극의 설계 및 구현예는 해당 기술분야에 잘 알려져 있다. 상부 전극(170) 및 가스 분배 시스템(140)은 도시하는 바와 같이 동일한 챔버 어셈블리 내에 설계될 수 있다. 대안적으로, 상부 전극(170)은 웨이퍼(125) 위의 플라즈마에 결합되는 RF 전력 분배를 조정하기 위한 멀티존 전극 설계를 포함할 수 있다. 예를 들어, 상부 전극(70)은 중심 전극과 가장자리 전극으로 분할될 수 있다.
플라즈마 처리 시스템(100)은 기판(125) 맞은편의 상부 전극(170)에 결합된 직류(DC) 전원(190)도 포함할 수 있다. 상부 전극(170)은 전극판을 포함할 수도 있다. 전극판은 실리콘 함유 전극판을 포함할 수도 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수도 있다. DC 전원(190)은 가변 DC 전원을 포함할 수 있다. 또한, DC 전원(190)은 바이폴라 DC 전원을 포함할 수 있다. DC 전원(190)은 DC 전원(190)의 극성, 전류, 전압, 또는 온/오프 상태를 모니터링하기, 조정하기 또는 제어하기 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전원(190)은 탄도 전자 빔(ballistic electron beam)의 형성을 용이하게 한다. DC 전원(190)으로부터 RF 전력을 분리시키는 데에 전기 필터(도시되지 않음)가 이용될 수 있다.
예를 들어, DC 전원(190)에 의해 상부 전극(170)에 인가된 DC 전압은 대략 -2000 볼트(V) 내지 대략 1000 V의 범위일 수 있다. 바람직하게는 DC 전압의 절대값은 대략 100 V 이상의 값을 가지며, 보다 바람직하게는 DC 전압의 절대값은 대략 1300 V 이상의 값을 갖는다. 추가로, DC 전압은 음의 극성을 갖는 것이 바람직하다. 더불어, DC 전압은 상부 전극(170)의 표면에 발생하는 자체 바이어스 전압보다 큰 절대값을 가진 음의 전압인 것이 바람직하다. 기판 홀더(120)와 마주보는 상부 전극(170)의 표면은 실리콘 함유 재료로 구성될 수도 있다.
플라즈마 처리 시스템(100)은 기판(125) 맞은편의 상부 전극(170)에 결합된 직류(DC) 전원(190)도 포함할 수 있다. 상부 전극(170)은 전극판을 포함할 수도 있다. 전극판은 실리콘 함유 전극판을 포함할 수도 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수도 있다. DC 전원(190)은 가변 DC 전원을 포함할 수 있다. 또한, DC 전원(190)은 바이폴라 DC 전원을 포함할 수 있다. DC 전원(190)은 DC 전원(190)의 극성, 전류, 전압, 또는 온/오프 상태를 모니터링하기, 조정하기 또는 제어하기 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전원(190)은 탄도 전자 빔(ballistic electron beam)의 형성을 용이하게 한다. DC 전원(190)으로부터 RF 전력을 분리시키는 데에 전기 필터(도시되지 않음)가 이용될 수 있다.
예를 들어, DC 전원(190)에 의해 상부 전극(170)에 인가된 DC 전압은 대략 -2000 볼트(V) 내지 대략 1000 V의 범위일 수 있다. 바람직하게는 DC 전압의 절대값은 대략 100 V 이상의 값을 가지며, 보다 바람직하게는 DC 전압의 절대값은 대략 1300 V 이상의 값을 갖는다. 추가로, DC 전압은 음의 극성을 갖는 것이 바람직하다. 더불어, DC 전압은 상부 전극(170)의 표면에 발생하는 자체 바이어스 전압보다 큰 절대값을 가진 음의 전압인 것이 바람직하다. 기판 홀더(120)와 마주보는 상부 전극(170)의 표면은 실리콘 함유 재료로 구성될 수도 있다.
적용분야에 따라, 센서 또는 계측 디바이스 등의 부가 디바이스가 플라즈마 처리 챔버(110)에 그리고 소스 컨트롤러(155)에 결합되어 실시간 데이터를 수집하고 이러한 실시간 데이터를 사용하여, 소자구조설계(integration scheme)의 에칭 공정, 패시베이션 공정, 퇴적 공정, RIE 공정, 풀(pull) 공정, 프로파일 재성형 공정, 가열 처리 공정, 탄탈 질화물층을 포함한 질화물층의 패터닝, 및/또는 패턴 전사 공정을 수반하는 2개 이상의 단계에서의 2개 이상의 선택된 통합 동작 변수를 동시에 제어할 수 있다. 또한, 동일한 데이터를 사용하여, 가열 이후 처리의 완성, 패터닝 균일성(균일성), 구조의 풀다운(풀다운), 구조의 슬리밍(슬리밍), 구조의 종횡비(종횡비), 라인 폭 거칠기, 기판 쓰루풋, 소유 비용(cost of ownership) 등을 포함하는 통합 목표의 달성을 보장할 수 있다.
인가 전력을 조절함으로써, 통상 펄스 주파수 및 듀티 레이트의 변화를 통해, 연속파(CW)에서 생성되는 것과는 확연히 상이한 플라즈마 속성을 취득하는 것이 가능하다. 결과적으로, 전극의 RF 전력 조절은 시간 평균치 이온 플럭스 및 이온 에너지에 대한 제어를 제공할 수 있다.
도 2a는 TaN층에서 피처를 패터닝하기 위한 방법(200)의 일 실시형태를 나타낸다. 일 실시형태에서, 방법(200)은 블록 202에 나타내는 바와 같이, TaN층을 포함하는 기판을 수용하는 단계를 포함할 수 있다. 또한, 본 방법(200)은 블록 204에 나타내는 바와 같이, 기판을 에칭하여 TaN층의 적어도 일부를 노출시키는 단계를 포함할 수 있다. 블록 206에서, 본 방법(200)은 TaN층의 측면 에칭(lateral etching)을 감소시키기 위해 패시베이션 공정을 수행하는 단계를 포함할 수 있다. 또한, 본 방법(200)의 일 실시형태는 블록 208에 나타내는 바와 같이, TaN층을 에칭하여 그 내부에 피처를 형성하는 단계를 포함할 수 있고, 패시베이션 공정은 하나 이상의 타겟 패시베이션 목표를 충족하도록 제어된다.
도 2b는 TaN층에서 피처를 패터닝하기 위한 방법(220)의 다른 실시형태를 나타낸다. 일 실시형태에서, 블록 222에 나타내는 바와 같이, 포토레지스트 구조, 패터닝층, 탄탈 질화물 함유층, 및 하부층을 포함하는 인풋 패터닝 피처(input patterned feature)를 구비한 기판이 공정 챔버 내에 제공된다. 블록 224에서 마스크를 이용하여 패터닝층 상에 일련의 재료 개방 공정(material open process)이 수행되며, 개방 공정은 중간 패터닝 피처를 생성한다. 블록 226에서 중간 패터닝 피처 상에 패시베이션 공정 및 에칭 공정이 수행되며, 패시베이션 공정은 붕소 함유 가스 및/또는 수소 함유 가스의 혼합물을 이용한다. 단계 228에서 하나 이상의 동작 변수가 조정되고 하나 이상의 공정 목표가 달성될 때까지 패시베이션 및 에칭 공정이 반복된다. 패터닝층은 실리콘 함유 반사방지 코팅, 탄소 평탄화층, 및 테트라에톡시실란막을 포함할 수 있다. 하나 이상의 동작 변수는, 붕소 함유 가스의 유량, 수소 함유 가스의 유량, 붕소 함유 가스 대 수소 함유 가스의 유량비, 아르곤, SF6를 포함한 다른 가스의 유량, 고주파 전력, 저주파 전력, 공정 챔버의 압력, 정전척 온도, 및 재료 개방 공정에서의 기타 동작 변수를 포함할 수 있다. 하나 이상의 공정 목표는 TaN의 타겟 에칭 레이트, 타겟 베이스 폭, 타겟 힙 폭, 타겟 캡 폭, 타겟 높이를 포함한 패터닝된 피처의 타겟 프로파일, 및/또는 아웃풋 패터닝 피처의 타겟 총 높이을 포함할 수 있다.
도 3a 내지 도 3e는 웨이퍼(125) 등의 기판 상에 로직 디바이스를 위한 BEOL 인터커넥트 패턴 또는 메모리 디바이스를 형성하는 워크피스를 도시하는 단면도이다. 이 실시형태에서는, 워크피스가 다수의 층을 포함할 수 있다. 다수의 층은 스택 구성으로 한층이 다른 층의 상부 상에 형성될 수 있다. 이 일 실시형태에서, 워크피스는 제1 TaN층(302), 구리(Cu)층(304), 제2 TaN층(306), 로직 디바이스를 위한 기타 BEOL 인터커넥트 패터닝 또는 메모리 디바이스에 사용되는 금속 함유 스택(308), 제3 TaN층(316), 테트라에톡시실란(TEOS)층(318), 유기 평탄화(OPL)층(320), 실리콘 반사방지 코팅(SiARC)층(322) 등의 반사방지층, 및 포토레지스트층(324)을 포함할 수 있다. 충(308)은 Cu, Co, Ge, Cr, Al, As, Ru, Ti, Te 등과 같은 금속을 함유한 단층 또는 다층 금속 스택일 수 있다. 일 실시형태에서, 포토레지스트층(324)이 패터닝될 수 있다. 일 실시형태에서, 플라즈마 챔버(110)는 도 3a에 도시하는 바와 같은 층상 구조가 배치되어 있는 워크피스를 수용할 수 있다. 전술한 실시형태에서는 3개의 개별 TaN층을 포함하지만, 당업자라면 실제 워크피스는 더 많거나 더 적은 수의 TaN층을 포함할 수 있음을 알 것이다. 사실상, TaN층의 수는 본 실시형태의 동작에 영향을 미치지 않는다. 당업자는 워크피스가 본 명세서에 기술하는 것보다 더 많거나 더 적은 수의 상이한 재료층을 비롯한 다양한 층을 포함할 수 있음을 알 것이다. 적어도 하나의 TaN층이 존재한다면, 기술하는 실시형태가 이용될 수 있다.
도 3b 내지 도 3d에 설명하는 일련의 에칭 공정에 있어서, 하나 이상의 공지된 공정에 따라, 제3 TaN층(316)을 노출시키기 위해 몇몇 층이 개방된다. 도 3b의 공정에서, 반사방지층(322)이 포토레지스트층(324)에 의해 형성된 패턴으로 에칭될 수 있다. 반사방지층(322)은 적절한 처리 파라미터의 복수 세트 중 한 세트를 사용하여 제거될 수 있다. 예를 들어, 일 실시형태에서, 반사방지층(322)의 에칭 공정은 13 mT 내지 17 mT 범위의 압력, 425 W 내지 575 W 범위의 고주파 전력, 43 W 내지 58 W 범위의 저주파 전력, 30℃ 내지 52℃ 범위의 온도로 수행될 수 있다. 이 일 실시형태에 있어서, 3 sccm 내지 5 sccm의 유량 범위의 C4F8, 43 sccm 내지 58 sccm의 유량 범위의 CHF3, 및 68 sccm 내지 92 sccm의 유량 범위의 CF4의 조합이 에칭 가스 화학물로서 사용될 수 있다. 당업자라면 반사방지층(322)에 사용되는 재료에 따라 이용할 수 있는 처리 파라미터 범위 또는 대안적인 가스 조합을 비롯한 대안적 실시형태를 인식할 것이다.
도 3c의 공정에서, OPL층(320)이 SiARC층(322)에 의해 형성된 패턴으로 개방될 수 있다. 도 3c의 공정에서, TEOS층(318)이 OPL층(320)에 의해 형성된 패턴으로 개방될 수 있다. OPL층(320)은 적절한 처리 파라미터의 복수 세트 중 한 세트를 사용하여 제거될 수 있다. 예를 들어, 일 실시형태에서, OPL층(320)의 에칭 공정은 10 mT 내지 15 mT 범위의 압력, 425 W 내지 575 W 범위의 고주파 전력, 85 W 내지 115 W 범위의 저주파 전력, 30℃ 내지 52℃ 범위의 온도로 수행될 수 있다. 이 일 실시형태에 있어서, 77 sccm 내지 104 sccm의 유량 범위의 HBr, 68 sccm 내지 92 sccm의 유량 범위의 CO2, 26 sccm 내지 35 sccm의 유량 범위의 O2, 및 170 sccm 내지 230 sccm의 유량 범위의 He의 조합이 에칭 가스 화학물로서 사용될 수 있다. 당업자라면 OPL층(320)에 사용되는 재료에 따라 이용할 수 있는 처리 파라미터 범위 또는 대안적인 가스 조합을 비롯한 대안적 실시형태를 인식할 것이다.
도 3d의 공정에서, TEOS층(318)이 OPL층(320)에 의해 형성된 패턴으로 에칭될 수 있다. TEOS층(318)은 적절한 처리 파라미터의 복수 세트 중 한 세트를 사용하여 제거될 수 있다. 예를 들어, 일 실시형태에서, TEOS층(318)의 에칭 공정은 26 mT 내지 35 mT 범위의 압력, 170 W 내지 230 W 범위의 고주파 전력, 680 W 내지 920 W 범위의 저주파 전력, 43℃ 내지 69℃ 범위의 온도로 수행될 수 있다. 이 일 실시형태에 있어서, 765 sccm 내지 1035 sccm의 유량 범위의 Ar, 9 sccm 내지 19 sccm의 유량 범위의 C4F8, 4 sccm 내지 6 sccm의 유량 범위의 O2, 및 85 sccm 내지 115 sccm의 유량 범위의 N2의 조합이 에칭 가스 화학물로서 사용될 수 있다. 당업자라면 TEOS(318)에 사용되는 재료에 따라 이용할 수 있는 처리 파라미터 범위 또는 대안적인 가스 조합을 비롯한 대안적 실시형태를 인식할 것이다.
일 실시형태에서, 도 3e의 공정에 따라 제3 TaN층(316)이 에칭될 수 있다. 이 실시형태에서, TaN층(316)은 TEOS층(318)에 의해 형성된 패턴으로 개방될 수 있다. 일 실시형태에서, 제3 TaN층(316)의 에칭 공정은 34 mT 내지 46 mT 범위의 압력, 255 W 내지 345 W 범위의 고주파 전력, 150 W 내지 200 W 범위의 저주파 전력, 38℃ 내지 52℃ 범위의 온도로 수행될 수 있다. 이 일 실시형태에 있어서, 170 sccm 내지 230 sccm의 유량 범위의 Ar, 43 sccm 내지 58 sccm의 유량 범위의 SF6, 및 10 sccm 내지 14 sccm의 유량 범위의 BCl3의 조합이 에칭 가스 화학물로서 사용될 수 있다. 당업자라면 적용분야 또는 타겟 처리 목표에 따라 이용할 수 있는 처리 파라미터 범위 또는 대안적인 가스 조합을 비롯한 대안적 실시형태를 인식할 것이다.
제3 TaN층(316)에 수행되는 공정을 참조하여 본 실시형태를 설명하지만, 당업자라면 설명하는 실시형태가 제1 TaN층(302)과 제2 TaN층(306)을 포함한 다른 TaN층에도 동등하게 적용 가능함을 인식할 것이다. 사실상, 설명하는 실시형태는 다양한 구조 또는 적용분야에서 TaN를 처리하는데 유용할 수 있다. 또한, TaN 이외의 물질에 대해서도 동등한 공정을 사용할 수 있으며, 이들 물질은 유사한 에칭 프로파일을 나타내고 에칭 가스의 첨가제에 유사하게 반응한다.
도 4a는 패터닝된 피처(402)의 형성을 위해 제3 TaN층(316) 등의 TaN 재료를 에칭하는 베이스라인 공정을 도시한다. 일 실시형태에서, 패터닝된 피처(402)는 제3 TaN층(316)의 패터닝된 부분을 포함할 수 있다. 다른 실시형태에서는, 패터닝된 피처(402)가 TEOS층(318)의 일부를 포함할 수도 있다. 설명하는 실시형태에서는, SF6을 포함한 플라즈마 에칭 가스가 제3 TaN층(316)의 에칭에 사용된다. 이 실시형태에서는, SF6 및 TaN의 반응이 TEOS층(318)에 대한 제3 TaN층(316)의 언더레치(underetch)를 방지하기에 충분한 측벽 패시베이션을 제공하지 않는다. 이 실시형태에서, TaN은 금속 함유 스택(308) 등의 하부층의 패터닝이 손상되거나 실질적으로 훼손될 정도로 등방성 에칭될 수 있다. 따라서, 도 4a의 공정은 특정 적용분야에는 불충분할 수 있고 총 생산 쓰루풋을 감소시킬 수도 있다.
도 4b의 실시형태는 에칭 가스 화학물에 BCl3의 첨가를 포함한다. 이 실시형태에서는, 붕소가 TaN 내의 질소와 반응하여 TaN의 측벽 상에 붕소 질화물(BN) 패시베이션층(404)을 생성할 수 있다. 붕소 질화물(BN)이 TaN층을 패시베이션하고, 그에 따라 측벽을 따라 제3 TaN층(316)의 에칭을 늦춤으로써 제3 TaN층(316)의 네킹(necking)을 감소시킬 수 있다.
도 4c의 실시형태는 HBr 가스가 플라즈마 가스 화학물에 첨가되는 다른 실시형태를 도시한다. 이 실시형태에서는, HBr로부터의 수소(H)가 SF6로부터의 불소(F)와 결합하여 플라즈마 내의 F 라디칼을 감소시킬 수 있다. F 라디칼을 감소시키면 제3 TaN층(316)의 측벽의 에칭 레이트를 줄일 수 있다. 또한, Br로부터의 브롬(Br)이 TaN로부터의 탄탈(T)과 결합하여 제3 TaN층(316)의 측벽 상에 탄탈 브롬화물(TaBr) 패시베이션층(406)을 형성할 수 있다.
도 5는 도 4a과 관련하여 설명한 베이스라인 공정에 따라 기판(502) 상에 형성된 패터닝된 피처(402)의 일 실시형태의 단면의 치수를 도시하는 치수도이다. 기판(502)은 도 4a의 스택(308)과 유사한 금속 함유막이다. 일 실시형태에서, 그 결과로 패터닝된 피처(402)는 베이스 폭 45-65 nm, 넥 폭 35-55 nm, 및 캡 폭 45-65 nm를 갖는다. 패터닝된 피처(402)는 높이 80-100 nm를 갖는 TaN층과 총 높이 100-120 nm를 더 포함한다.
도 5의 실시형태에서, 에칭 공정은 34 mT 내지 46 mT 범위의 압력, 255 W 내지 345 W 범위의 고주파 전력, 150 W 내지 230 W 범위의 저주파 전력, 38℃ 내지 52℃ 범위의 온도로 수행될 수 있다. 이 일 실시형태에 있어서, 170 sccm 내지 230 sccm의 유량 범위의 Ar, 및 43 sccm 내지 58 sccm의 유량 범위의 육불화황(SF6)의 조합이 에칭 가스 화학물로서 사용될 수 있다.
비교를 위해, 도 6a 내지 도 6f는 기판(502) 상에 형성된 패터닝된 피처(402)의 단면을 도시한다. 다양한 실시형태에서, 에칭 화학물에 다양한 유량 범위로 추가 가스가 첨가될 수 있다. 예를 들어, BCl3, HBr, CH4, CHF3 등이 에칭 화학물에 첨가될 수 있다.
도 6a는 에칭 공정의 85% 동안 에칭 화학물에 10 sccm 내지 14 sccm의 유량 범위로 BCl3를 첨가한 공정을 사용하여 패터닝 피처(402)를 형성한 결과를 도시한다. 나머지 15%는 추가 BCl3 없이 수행되어 BN 패시베이션층(404)을 에칭백하였다. 도 6b의 결과는 TaN 에칭의 전체 기간 동안 에칭 화학물에 BCl3를 첨가한 공정으로 생성되었다. 양쪽 결과는 TaN 상의 BN 패시베이션층(404)의 빌드업을 보여주며, 양쪽 결과는 패터닝 후에 제3 TaN층(316)의 단면 치수가 개선된 것을 보여준다.
도 6c는 에칭 공정의 85% 동안 에칭 화학물에 10 sccm 내지 14 sccm의 유량 범위로 HBr을 첨가한 공정의 결과를 보여준다. 나머지 15%는 추가 HBr 없이 수행되어 TaBr 패시베이션층(406)을 에칭백하였다. 그 결과로 패터닝된 피처(402)는 베이스 폭이 45-65 nm, 넥 폭이 35-55 nm, 및 캡 폭이 35-55 nm이며, 80-100 nm의 TaN층 높이와 100-120 nm의 총 피처 높이를 갖는다. 이 결과는 BCl3의 실시형태만큼 많은 측벽 패시베이션 재료의 빌드업 없이 베이스라인 공정을 넘어선 향상을 보여준다. HBr를 이용하는 실시형태는 추가 염소(Cl)를 플라즈마 챔버(110) 내로 도입하지 않는다는 추가 장점이 있는데, Cl은 부식제로 알려져 있기 때문이다.
추가 실시형태의 결과가 도 6d 내지 도 6f에 도시된다. 도 6d는 에칭 기간의 85% 동안 10 sccm 내지 14 sccm 유량의 플루오로포름(CHF3)이 에칭 가스 화학물에 첨가된 실시형태를 도시한다. 도 6e는 에칭 기간의 85% 동안 메탄(CH4)이 에칭 가스 화학물에 첨가된 실시형태의 결과를 도시한다. 양 실시형태는 TaN 측벽 패시베이션의 중요한 제어를 나타내고 있다.
도 6f는 에칭 공정 동안 기판 홀더(120)의 온도를 40℃ 내지 20℃으로 낮춘 베이스라인 공정의 일 실시형태의 결과를 나타낸다. 온도 하강은 TaN/TEOS 선택비의 개선도 나타내며, 그래서 온도 및 압력을 비롯한 추가 처리 파라미터의 제어가 TaN 측벽의 패시베이션에 이용될 수 있다.
도 7a 내지 도 7c는 TaN 패터닝 방법의 실험 결과의 단면을 나타낸다. 도 7a는 30℃에서 12 sccm의 BCl3 패시베이션 가스를 플라즈마 화학물에 첨가하여 수행된 방법의 결과를 나타낸다. 도 7b는 45℃에서 12 sccm의 BCl3 패시베이션 가스를 플라즈마 화학물에 첨가하여 수행된 방법의 결과를 나타낸다. 도 7c는 45℃ 및 압력 60 mT에서 12 sccm의 BCl3 패시베이션 가스를 플라즈마 화학물에 첨가하여 수행된 방법의 결과를 나타낸다. 각각의 결과는 베이스라인 공정보다 우수하고, 이들 결과로부터, 처리 챔버(110) 내의 온도 및 압력을 제어하는 것이 결과를 제어할 수 있고, 그래서 타겟 처리 목표가 충족될 수 있음이 명백하다. 타겟 처리 목표의 예는 패터닝된 피처(402)의 임계 치수, 제3 TaN층(316)의 수직벽 상에서의 패시베이션층 빌드업 양, TEOS 캡의 사이즈 및 형상 등을 포함할 수 있다.
도 8a와 도 8b는 에칭 화학물에 패시베이션 가스로서 HBr가 첨가되어 수행된 TaN 패터닝 방법의 실험 결과의 단면을 나타낸다. 도 8a는 45℃ 및 압력 40 mT에서 50 sccm의 SF6와 12 sccm의 HBr을 조합한 결과를 도시한다. 도 8b는 45℃ 및 압력 40 mT에서 50 sccm의 SF6와 24 sccm의 HBr을 조합한 결과를 도시한다. 도시하는 바와 같이, 에칭 화학물에서 패시베이션 가스의 농도를 변화시키는 것도 결과를 조절할 수 있다. 따라서, 가스의 농도도 하나 이상의 타겟 처리 목표를 충족하도록 제어될 수 있다.
도 6a 내지 도 8b에 도시하는 바와 유사한 결과를 생성하는데 사용될 수 있는 레시피의 실시형태를 가능하게 하기 위해 특정 처리 파라미터가 설명되었지만, 당업자는 설명한 파라미터가 타겟 처리 목표를 달성할 수 있는 범위 내에서 제어될 수 있음을 인식할 것이다. 예를 들어, 패시베이션 가스의 유량은 1-50 sccm, 또는 12-24 sccm의 범위 내에 있을 수 있다. 사실상, 일부 실시형태에서는 디바이스 및 시스템 요건에 따라 더 많은 유량이 사용될 수도 있다. 또한, 동작 압력은 1-100 mT 또는 34-60 mT 범위 내에 있을 수 있다. 일부 실시형태에서는 디바이스 및 시스템 요건에 따라 더 높은 압력이 사용될 수도 있다. 마찬가지로, 온도도 30-60℃ 범위 내에서 제어될 수 있다. 당업자라면 디바이스 및 시스템 요건에 따라, 예를 들어, 1-100℃ 범위 내에서 더 높거나 더 낮은 온도가 사용될 수 있음을 인식할 것이다. 사실상, 디바이스 및 시스템 요건에 따라 다양한 온도가 사용될 수 있다.
부가적인 장점 및 수정이 당업자에게 명백할 것이다. 따라서, 보다 넓은 관점에서의 본 발명은 특정 세부 사항, 대표적인 장치와 방법 그리고 도시하고 설명한 예시적인 예들로 제한되지 않는다. 따라서, 일반적인 발명의 사상의 범주를 벗어나지 않는 범위 내에서 그러한 세부 사항으로부터 벗어날 수도 있다.

Claims (21)

  1. 기판을 처리하는 방법에 있어서,
    탄탈 질화물(TaN)층을 포함하는 기판을 수용하는 단계와,
    상기 기판을 에칭하여 상기 TaN층의 적어도 일부를 노출시키는 단계와,
    상기 TaN층의 측면 에칭(lateral etching)을 감소시키기 위해 패시베이션 공정을 수행하는 단계와,
    상기 TaN층을 에칭하여 그 내부에 피처를 형성하는 단계
    를 포함하고,
    상기 패시베이션 공정은 하나 이상의 타겟 패시베이션 목표를 충족하도록 제어되고,
    상기 패시베이션 공정 및 상기 TaN층의 에칭 공정은 패시베이션 목표를 충족하기 위하여 반복되며,
    상기 패시베이션 공정을 수행하는 단계는 상기 TaN층의 에칭에 사용되는 육불화황(SF6) 가스로 형성된 플라즈마에서 불소(F) 라디칼을 감소시키는 단계와, 상기 SF6 가스에 브롬화수소(HBr)를 첨가하는 단계를 더 포함하고, 상기 HBr로부터의 수소가 상기 SF6 플라즈마 내의 F 라디칼의 수를 감소시키는 것인 기판 처리 방법.
  2. 제1항에 있어서, 상기 패시베이션 공정을 수행하는 단계는 상기 TaN층의 에칭과 동시에 수행되는 것인 기판 처리 방법.
  3. 제1항에 있어서, 상기 패시베이션 공정을 제어하는 것은 패시베이션 가스의 유량을 제어하는 것을 더 포함하는 것인 기판 처리 방법.
  4. 제3항에 있어서, 상기 패시베이션 가스의 유량은 1-50 sccm의 범위 또는 12-24 sccm의 범위 내에 있는 것인 기판 처리 방법.
  5. 제1항에 있어서, 상기 패시베이션 공정을 제어하는 것은 처리 챔버 내의 압력을 제어하는 것을 더 포함하는 것인 기판 처리 방법.
  6. 제5항에 있어서, 상기 압력은 1-100 mT의 범위 또는 34-60 mT의 범위 내에 있는 것인 기판 처리 방법.
  7. 제1항에 있어서, 상기 패시베이션 공정을 제어하는 것은 처리 챔버 내의 온도를 제어하는 것을 더 포함하는 것인 기판 처리 방법.
  8. 제7항에 있어서, 상기 온도는 30-60℃의 범위 내에 있는 것인 기판 처리 방법.
  9. 기판을 처리하는 방법에 있어서,
    탄탈 질화물(TaN)층을 포함하는 기판을 수용하는 단계와,
    상기 기판을 에칭하여 상기 TaN층의 적어도 일부를 노출시키는 단계와,
    상기 TaN층의 측면 에칭을 감소시키기 위해 브롬화수소(HBr)를 포함한 패시베이션 가스로 패시베이션 공정을 수행하고 상기 TaN층의 에칭에 사용되는 육불화황(SF6) 가스로 형성된 플라즈마에서 불소(F) 라디칼을 감소시키는 단계 - 상기 HBr로부터의 수소가 상기 SF6 가스로 형성된 플라즈마 내의 불소(F) 라디칼의 수를 감소시킴 - 와,
    상기 육불화황(SF6) 가스로 상기 TaN층을 에칭하여 그 내부에 피처를 형성하는 단계
    를 포함하고,
    상기 패시베이션 공정은 하나 이상의 타겟 패시베이션 목표를 충족하도록 제어되는 것인 기판 처리 방법.
  10. 제9항에 있어서, 상기 패시베이션 공정을 수행하는 단계는 상기 TaN층의 에칭과 동시에 수행되는 것인 기판 처리 방법.
  11. 제9항에 있어서, 상기 패시베이션 공정을 제어하는 것은 상기 패시베이션 가스의 유량을 제어하는 것을 더 포함하는 것인 기판 처리 방법.
  12. 제11항에 있어서, 상기 패시베이션 가스의 유량은 1-50 sccm의 범위 또는 12-24 sccm의 범위 내에 있는 것인 기판 처리 방법.
  13. 제9항에 있어서, 상기 패시베이션 공정을 제어하는 것은 처리 챔버 내의 압력을 제어하는 것을 더 포함하는 것인 기판 처리 방법.
  14. 제13항에 있어서, 상기 압력은 1-100 mT의 범위 또는 34-60 mT의 범위 내에 있는 것인 기판 처리 방법.
  15. 제9항에 있어서, 상기 패시베이션 공정을 제어하는 것은 처리 챔버 내의 온도를 제어하는 것을 더 포함하는 것인 기판 처리 방법.
  16. 제15항에 있어서, 상기 온도는 30-60℃의 범위 내에 있는 것인 기판 처리 방법.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
KR1020180115048A 2017-09-26 2018-09-27 탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법 KR102349721B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762563183P 2017-09-26 2017-09-26
US62/563,183 2017-09-26
US16/032,759 2018-07-11
US16/032,759 US10672618B2 (en) 2017-09-26 2018-07-11 Systems and methods for patterning features in tantalum nitride (TaN) layer

Publications (2)

Publication Number Publication Date
KR20190035593A KR20190035593A (ko) 2019-04-03
KR102349721B1 true KR102349721B1 (ko) 2022-01-10

Family

ID=65809076

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180115048A KR102349721B1 (ko) 2017-09-26 2018-09-27 탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법

Country Status (3)

Country Link
US (1) US10672618B2 (ko)
KR (1) KR102349721B1 (ko)
TW (1) TWI767061B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11158788B2 (en) * 2018-10-30 2021-10-26 International Business Machines Corporation Atomic layer deposition and physical vapor deposition bilayer for additive patterning
WO2020100339A1 (ja) * 2019-06-26 2020-05-22 株式会社日立ハイテク プラズマ処理方法
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020132488A1 (en) 2001-01-12 2002-09-19 Applied Materials, Inc. Method of etching tantalum
US20020195416A1 (en) 2001-05-01 2002-12-26 Applied Materials, Inc. Method of etching a tantalum nitride layer in a high density plasma
US20050079703A1 (en) 2003-10-09 2005-04-14 Applied Materials, Inc. Method for planarizing an interconnect structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000353804A (ja) * 1999-06-11 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
ES2176071B1 (es) * 2000-03-01 2003-11-01 Tubsa Automocion Sl Procedimiento de fabricacion de un cuerpo de pedal de freno para vehiculos automoviles.
US6531404B1 (en) 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
JP2007081383A (ja) * 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
US9111746B2 (en) * 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020132488A1 (en) 2001-01-12 2002-09-19 Applied Materials, Inc. Method of etching tantalum
US20020195416A1 (en) 2001-05-01 2002-12-26 Applied Materials, Inc. Method of etching a tantalum nitride layer in a high density plasma
US20050079703A1 (en) 2003-10-09 2005-04-14 Applied Materials, Inc. Method for planarizing an interconnect structure

Also Published As

Publication number Publication date
TW201923887A (zh) 2019-06-16
KR20190035593A (ko) 2019-04-03
US10672618B2 (en) 2020-06-02
US20190096672A1 (en) 2019-03-28
TWI767061B (zh) 2022-06-11

Similar Documents

Publication Publication Date Title
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
US9318343B2 (en) Method to improve etch selectivity during silicon nitride spacer etch
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
TW201142941A (en) Method of controlling trench microloading using plasma pulsing
CN107799458B (zh) 自对准多重图案化的原位间隔件整形的方法和系统
KR102349721B1 (ko) 탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법
TW201701331A (zh) 閘極電極材料殘留物移除製程
TW202004910A (zh) 用於低深寬比堆疊圖案化的方法和系統
TWI593014B (zh) 表面介面工程方法
US20200303253A1 (en) Semiconductor back end of line (beol) interconnect using multiple materials in a fully self-aligned via (fsav) process
US11515203B2 (en) Selective deposition of conductive cap for fully-aligned-via (FAV)
KR102419532B1 (ko) 질화물 에칭을 위한 표면 보수 방법
US10170329B2 (en) Spacer formation for self-aligned multi-patterning technique
US11227774B2 (en) Methods and systems for etching silicon cyanide (SiCN) with multi-color selectivity
US20040018741A1 (en) Method For Enhancing Critical Dimension Uniformity After Etch
US10937664B2 (en) Surface modification process
CN107690694B (zh) 通过溢料添加进行部分蚀刻记忆

Legal Events

Date Code Title Description
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant