TW201923887A - 氮化鉭層中之特徵部的圖案化系統及方法 - Google Patents

氮化鉭層中之特徵部的圖案化系統及方法 Download PDF

Info

Publication number
TW201923887A
TW201923887A TW107133770A TW107133770A TW201923887A TW 201923887 A TW201923887 A TW 201923887A TW 107133770 A TW107133770 A TW 107133770A TW 107133770 A TW107133770 A TW 107133770A TW 201923887 A TW201923887 A TW 201923887A
Authority
TW
Taiwan
Prior art keywords
processing
gas
passivation
item
substrate according
Prior art date
Application number
TW107133770A
Other languages
English (en)
Other versions
TWI767061B (zh
Inventor
凡恩 隆
阿希姆 杜塔
朱庭菜
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201923887A publication Critical patent/TW201923887A/zh
Application granted granted Critical
Publication of TWI767061B publication Critical patent/TWI767061B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

敘述用於在氮化鉭(TaN)中之特徵部圖案化的系統及方法之實施例。於一實施例中,方法可包括承納一包含TaN層的基板。該方法亦可包括蝕刻該基板,以暴露該TaN層之至少一部份。另外,該方法可包括施行鈍化製程,以減少該TaN層的橫側蝕刻。該方法可另包括蝕刻該TaN層,以在其中形成特徵部,其中控制該鈍化製程以滿足一或多個目標鈍化結果。

Description

氮化鉭層中之特徵部的圖案化系統及方法
本發明有關用於基板處理的系統及方法,且更特別地是有關用於在氮化鉭(TaN)中之特徵部的圖案化系統及方法。
所敘述之實施例有關工業中所使用的TaN之電漿處理,TaN用作半導體記憶體及邏輯裝置的後段製程(BEOL)圖案化用之硬罩幕。該電漿製程包括蝕刻複數個薄膜。於一些裝置中,該等薄膜可包括含矽抗反射塗層(SiARC)薄膜、碳平坦化(OPL)薄膜、四乙氧基矽烷(TEOS)薄膜、及氮化鉭(TaN)薄膜。在一些系統中,使用電容式耦合電漿反應器蝕刻該等薄膜。雖然該電漿反應器的操作參數可取決於該應用及目標處理結果而變動,此一種系統能在第一電極以60 MHz RF電力的高頻及在第二電極以13.5 MHz RF電力的低頻操作。
用SF6 電漿蝕刻TaN之一問題係該側壁的各向同性蝕刻,這能使所建立之特徵部的臨界尺寸降級。於一些極端案例中,所建立之特徵部可能被災難性的底切所破壞,或降級至任何最終裝置不起作用的程度。
敘述用於氮化鉭(TaN)中之特徵部的圖案化系統及方法之實施例。在一實施例中,方法可包括承納一包含TaN層的基板。該方法亦可包括蝕刻該基板,以暴露該TaN層之至少一部份。另外,該方法可包括施行鈍化製程,以減少該TaN層的橫側蝕刻。該方法可另包括蝕刻該TaN層,以在其中形成特徵部,其中控制該鈍化製程,以滿足一或多個目標鈍化結果。
敘述用於圖案化TaN之方法及系統。在一實施例中,此等方法可使用來控制多層堆疊的TaN層中之特徵部的形成,該堆疊形成記憶體裝置或類似BEOL圖案之一部份。於各種實施例中,蝕刻氣體可使用來在電漿反應器室中圖案化該TaN層,該蝕刻氣體包括六氟化硫(SF6 )氣體、氬(Ar)氣、三氯化硼(BCl3 )氣體、及溴化氫(HBr)氣體與類似者等。於一實施例中,該電漿室可為電容式耦合的電漿反應器。可調整包括溫度、壓力、及暴露時間之額外處理參數,以控制該TaN層中的圖案形成。
熟習該相關技術領域之人員將認識到,可在沒有一或多個特定細節、或有其他替換及/或另外的方法、材料、或零組件之情況下實踐各種實施例。於其他情況下,未詳細示出或敘述熟知的結構、材料或操作,以避免模糊本發明之各種實施例的態樣。
類似地,用於解釋之目的,提出特定之數目、材料、及組構,以便提供對本發明的透徹理解。然而,可在沒有特定細節之情況下實踐本發明。再者,應理解,於該等圖面中所顯示的各種實施例係說明性表示,且不一定須按比例繪製。在參考該等圖面時,類似數字意指通篇之類似零件。
通篇說明書中對“一個實施例”或“實施例”或其變異型的參考意味著與該實施例所敘述之相關的特別特色、結構、材料、或特徵係包括在本發明的至少一實施例中,但並不指示它們存在於每個實施例中。如此,通篇說明書中在各處出現之諸如“於一個實施例中”或“在實施例中”的片語不須意指本發明的相同實施例。再者,該特別之特色、結構、材料、或特徵能以任何合適的方式結合於一或多個實施例中。在其他實施例中可包括各種額外之層及/或結構、及/或可省略所敘述的特色。
此外,應理解,除非另有明確地陳述,否則“一個(a)”或“一個(an)”可意指“一個或多個”。
將以最有助於理解本發明之方式把各種操作依序敘述為複數複數個個別操作。然而,敘述的順序不應被解釋為暗示這些操作係必須依照順序。特別地是,這些操作不需要按照呈現之順序施行。所敘述的操作可能以與所敘述實施例不同之順序施行。在額外的實施例中,可施行各種額外之操作及/或可省略所敘述的操作。
如在此中所使用,該“基板”一詞意指及包括在其上形成材料的基礎材料或構造。應當理解,該基板可包括單一材料、複數層不同材料、於其中具有不同材料或不同結構之區域的一層或多層等。這些材料可包括半導體、絕緣體、導體或其組合。例如,該基板可為半導體基板、在支撐結構上之基礎半導體層、在其上形成有一或多層、結構或區域的金屬電極或半導體基板。該基板可為傳統矽基板或包含半導體材料層之其他大塊基板。如在此中所使用,該“大塊基板”一詞不僅意指及包括矽晶圓,亦包括絕緣體上矽(“SOI”)基板、諸如藍寶石上矽(“SOS”)基板和玻璃上矽(“SOG”)基板,於基礎半導體基底上的矽外延層,及其他半導體或光電材料、諸如矽-鍺、鍺、砷化鎵、氮化鎵、和磷化銦。該基板可為已摻雜或未摻雜的。
圖1係用於圖案化TaN之系統100的實施例。在另一實施例中,該系統可建構成施行TaN材料之圖案化,如參考圖2A-8B所敘述。建構來施行該上述製程條件的蝕刻和鈍化處理系統100係於圖1中描述,包含處理室110、基板夾具120、固定在基板夾具120上之待處理之晶圓125、及真空泵送系統150。該晶圓125可為半導體基板、晶圓、平板顯示器、或液晶顯示器。處理室110可建構成便於在該晶圓125之表面附近蝕刻該處理區域145。經由氣體分配系統140導入製程氣體的可電離氣體或混合物。對於給定的製程氣體流動,使用該真空泵送系統150調整該製程壓力。
該晶圓125能經由夾持系統(未示出)、諸如機械夾持系統或電夾持系統(例如靜電夾持系統)固定至該基板夾具120。再者,基板夾具120能包括加熱系統(未示出)或冷卻系統(未示出),其係建構成調整及/或控制基板夾具120及該晶圓125之溫度。該加熱系統或冷卻系統可包含熱傳流體的再循環流動,其由基板夾具120接收熱量並當冷卻時將熱量傳送至熱交換器系統(未示出),或當加熱時將熱量從該熱交換器系統傳送至基板夾具120。於其他實施例中,加熱/冷卻元件、例如電阻加熱元件、或熱電加熱器/冷卻器可包括在該基板夾具120、以及該處理室110之室壁和該處理系統100內的任何其他零組件中。
另外,熱傳氣體能經由背側氣體供給系統126輸送至晶圓125之背側,以便改善晶圓125及基板夾具120間之氣隙熱導率。當在升高或減少的溫度下需要該晶圓125的溫度控制時,能利用此一系統。例如,該背側氣體供應系統可包含雙區氣體分配系統,其中該氦氣隙壓力可在晶圓125的中心和邊緣之間獨立地變動。
於圖1所示實施例中,基板夾具120可包含電極122,RF電力係經過該電極耦接至該處理區域145。譬如,可經由從RF產生器130通過可選的阻抗匹配網路132傳輸RF電力至基板夾具120,基板夾具120可在RF電壓下電偏壓。該RF電偏壓可用於加熱電子,以形成和維持電漿。在此組構中,該系統100可操作為RIE反應器,其中該腔室和上部氣體注入電極用作接地表面。
再者,電極122於RF電壓之電偏壓可為脈動式,並使用脈動式偏壓信號控制器131。例如,從該RF產生器130輸出的RF電力可在斷開狀態和接通狀態之間脈動。交替地,RF電力係在複數個頻率下被施加至該基板夾具電極。再者,阻抗匹配網路132能藉由減少該反射功率來改善RF電力至電漿處理室110中的電漿之傳送。匹配網路拓撲結構(例如L型、π型、T型等)及自動控制方法係那些熟諳此技術領域者所熟知的。
氣體分配系統140可包含用於導入製程氣體之混合物的噴淋頭設計。另一選擇係,氣體分配系統140可包含多區噴淋頭設計,用於導入製程氣體之混合物、及調整晶圓125上方的製程氣體之混合物的分配。譬如,該多區噴淋頭設計可建構成相對於至晶圓125上方之大體中心區域的製程氣體流動或成份而調整至晶圓125上方的大體周邊區域之製程氣體流動或成份的數量。在此一實施例中,能以合適之組合配送氣體,以於該腔室110內形成高度均勻的電漿。
真空泵送系統150可包括渦輪分子真空泵(TMP)及用於節流該腔室壓力之閘閥,該渦輪分子真空泵能夠達到每秒約8000公升(或更高)的泵送速率。在利用於乾式電漿蝕刻之傳統電漿處理裝置中,可採用每秒800至3000公升的TMP。TMP係可用於低壓處理、典型少於約50毫托。用於高壓處理(亦即,大於約80毫托),可使用機械增壓泵和乾式低真空泵。再者,用於監視腔室壓力之裝置(未示出)可耦接至該電漿處理室110。
在一實施例中,該來源控制器155可包含微處理器、記憶體、及數位輸入/輸出埠,而能夠產生控制電壓,該控制電壓足以傳送和激活至處理系統100的輸入、以及監視來自電漿處理系統100的輸出。再者,來源控制器155能耦接至並可與RF產生器130、脈動式偏壓信號控制器131、阻抗匹配網路132、該氣體分配系統140、該電源190、真空泵送系統150、以及該基板加熱/冷卻系統(未示出)、該背側氣體供應系統126、及/或該靜電夾持系統128交換資訊。例如,儲存在該記憶體中之程式可利用於根據製程配方啟動至處理系統100的前述零組件之輸入,以便在晶圓125上施行電漿輔助製程、諸如電漿蝕刻製程或後加熱處理製程。
此外,該處理系統100可另包含上電極170, RF電力可經過選擇性阻抗匹配網路174由RF產生器172耦接至該上電極170。在一實施例中,用於施加RF電力至該上電極的頻率範圍可由約0.1MHz至約200MHz。另一選擇係,本實施例可與電感耦合電漿(ICP)來源、電容耦合電漿(CCP)來源、建構成在GHz頻率範圍中操作之徑向線縫隙天線(RLSA)來源、建構成在sub-GHz至GHz範圍中操作的電子迴旋共振(ECR)來源、以及其他者一起使用。另外,向該下電極施加電力之頻率的範圍可由約0.1MHz至約80MHz。再者,來源控制器155係耦接至RF產生器172及阻抗匹配網路174,以便控制RF電力之施加至上電極170。上電極的設計和實現係那些熟諳此技術領域者所熟知。如所顯示,該上電極170及該氣體分配系統140可設計在該同一腔室組件內。另一選擇係,上電極170可包含多區電極設計,用於調整耦接至晶圓125上方之電漿的RF功率分佈。例如,該上電極170可分段成中心電極和邊緣電極。
該處理系統100可另包含與基板125相對的上電極170耦接之直流(DC)電源190。該上電極170可包含電極板。該電極板可包括一含矽電極板。再者,該電極板可包含摻雜的矽電極板。該DC電源190能包括可變DC電源。另外,該DC電源190可包括雙極DC電源。該DC電源190可另包括一系統,其建構成施行監視、調整、或控制該DC電源190之極性、電流、電壓、或開(on)/關(off)狀態的至少一個。一旦形成電漿,該DC電源190有助於形成彈道電子束。可利用電濾波器(未示出)來由該DC電源190解耦RF電力。
例如,藉由DC電源190施加至上電極170之DC電壓範圍可由大約-2000伏特(V)至大約1000V。理想地,該DC電壓的絕對值具有等於或大於大約100V之值,且更理想地,該DC電壓的絕對值具有等於或大於大約1300V之值。另外,其想要的是該DC電壓具有負極性。再者,其想要的是該DC電壓係具有一絕對值之負電壓,該絕對值大於該上電極170的表面上所產生之自偏電壓。該上電極170的面向該基板夾具120之表面可包含含矽材料。
該處理系統100可另包含耦接至與基板125相對的上電極170之直流(DC)電源190。該上電極170可包含電極板。該電極板可包括一含矽電極板。再者,該電極板可包含摻雜矽的電極板。該DC電源190能包括可變的DC電源。另外,該DC電源190可包括雙極DC電源。該DC電源190可另包括一系統,其建構成施行監視、調整、或控制該DC電源190之極性、電流、電壓、或開(on)/關(off)狀態的至少一者。一旦形成電漿,該DC電源190有助於形成彈道電子束。可利用電濾波器(未示出)來由該DC電源190解耦RF電力。
例如,藉由DC電源190施加至上電極170之DC電壓範圍可由大約-2000伏特(V)至大約1000V。理想地,該DC電壓的絕對值具有等於或大於大約100V之值,且更理想地,該DC電壓的絕對值具有等於或大於大約1300V之值。另外,其想要的是該DC電壓具有負極性。再者,其想要的是該DC電壓係具有一絕對值之負電壓,該絕對值大於該上電極170的表面上所產生之自偏電壓。該上電極170的面向該基板夾具120之表面可包含含矽材料。
取決於該等應用,諸如感測器或計量裝置的額外裝置能耦接至該處理室110及至該來源控制器155,以收集實時資料及使用此實時資料來在二或多個步驟中同時控制二或更多個所選擇之整合操作變數,該等步驟涉及該整合方案的蝕刻製程、鈍化製程、沉積製程、RIE製程、拉動製程、輪廓重整製程、熱處理製程、包括氮化鉭層的氮化物層之圖案化、及/或圖案轉移製程。再者,能使用該相同之資料來確保達成整合目標,包括完成後熱處理、圖案化均勻性(均勻性)、結構的拉除(拉除)、結構之細窄化(細窄化)、結構的縱橫比(縱橫比)、線寬粗糙度、基板生產量、擁有成本與類似者等。
藉由調節該施加之電力,典型經過變動該脈衝頻率和負荷比,其係可能獲得與連續波(CW)中所產生的電漿性質明顯不同之電漿性質。因此,該等電極的RF電力調節可提供對時間平均離子通量和離子能量之控制。
圖2A說明用於TaN層中的特徵部之圖案化方法200的實施例。在一實施例中,該方法200包括承納一包含TaN層之基板,如在方塊202所顯示。另外,該方法200可包括蝕刻該基板,以暴露該TaN層的至少一部份,如在方塊204所顯示。在方塊206,該方法200可包括施行鈍化處理,以減少該TaN層之橫側蝕刻。另外,該方法200的實施例可包括蝕刻該TaN層,以在其中形成特徵部,在此控制該鈍化製程,以滿足一或多個目標鈍化結果,如在方塊208所顯示。
圖2B說明用於TaN層中的特徵部之圖案化方法220的另一實施例。在一實施例中,於方塊222,製程室中之基板係設有輸入圖案化特徵部,其包含光阻結構、圖案化層、含氮化鉭層、和下伏層。使用罩幕在該圖案化層上施行一系列材料打開製程,該等打開製程在方塊224建立中間圖案化特徵部。在該中間圖案化特徵部上施行鈍化製程和蝕刻製程,該鈍化製程在方塊226使用含硼及/或含氫氣體混合物。調整一或多個操作變數並反覆執行該鈍化和蝕刻製程,直到在步驟228達成一或多個製程目標。該圖案化層可包括含矽的抗反射塗層、碳平坦化薄膜、和四乙氧基矽烷薄膜。該一或多個操作變數可包括該含硼氣體之流動速率、該含氫氣體的流動速率、該含硼氣體對該含氫氣體之流動速率比、包括氬、SF6 的其他氣體之流動速率、高頻電力、低頻電力、該製程室中的壓力、靜電卡盤溫度、及該材料打開製程中之其他操作變數。該一個或多個製程目的可包括該TaN之目標蝕刻速率、包含目標基底寬度、目標髖寬度(target hip width)、目標帽蓋寬度、目標高度的圖案化特徵部之目標輪廓、及/或該輸出圖案化特徵部的目標總高度。
圖3A-3E係截面圖,說明用於在例如晶圓125之基板上形成記憶體裝置或邏輯裝置用之BEOL互連圖案的工件。於此實施例中,該工件可包括複數層。該複數層能以堆疊結構的形式而使一層形成於另一層上。在一此實施例中,該工件可包括第一TaN層302、銅(Cu)層304、第二TaN層306、用於記憶體裝置或邏輯裝置用的任何另一BEOL互連圖案化之含金屬堆疊308、第三TaN層316、四乙氧基矽烷(TEOS)層318、有機平坦化(OPL)層320、例如矽抗反射塗覆(SiARC)層322的抗反射層、及光阻層324。該堆疊308可為含有像Cu、Co、Ge、Cr、Al、As、Ru、Ti、Te等金屬之單層或多層金屬堆疊。在一實施例中,可圖案化該光阻層324。於一實施例中,該電漿室110可承納在其上配置有分層結構的工件,如圖3A中所示。儘管所述實施例包括三個單獨之TaN層,但一般技藝者會認知到實際工件可包括更多或更少數目的TaN層。實際上,TaN層之數目與本實施例的操作無關。一般技藝者會進一步認知到該工件可包括各種層,包括與在此中所述材料不同之更多或更少數目的層。只要存在至少一TaN層,就可利用所述實施例。
如在圖3B-3D中所敘述之一系列蝕刻製程中,根據一或多個習知製程打開數層以暴露該第三TaN層316。於圖3B的製程中,該抗反射層322可在藉由該光阻層324中所界定之圖案中蝕刻。可使用複數組之合適處理參數的其中一者移去該抗反射層322。譬如,於一實施例中,能以於13mT至17mT之範圍中的壓力、在425W至575W之範圍中的高頻電力、於43W至58W之範圍中的低頻電力、及在30°C至52°C之範圍中的溫度施行該抗反射層322蝕刻製程。於一此實施例中,可使用流動速率範圍為3sccm至5sccm之C4 F8 、流動速率為43sccm至58sccm的CHF3 、和流動速率為68sccm至92sccm之CF4 的組合作為蝕刻氣體化學物質。一般技藝者會認知到替代之實施例,包括可取決於用在該抗反射層322的材料所使用之替代氣體組合或處理參數範圍。
於圖3C的製程中,該OPL層320可在藉由該SiARC層322所界定之圖案中打開。於圖3C的製程中,該TEOS層318可在藉由該OPL層320所界定之圖案中打開。可使用複數組之合適處理參數的其中一者移去該OPL層320。譬如,於一實施例中,能以10mT至15mT之範圍中的壓力、在425W至575W之範圍中的高頻電力、於85W至115W之範圍中的低頻電力、及在30°C至52°C之範圍中的溫度施行該OPL層320蝕刻製程。於一此實施例中,可使用在77sccm至104sccm之流動速率範圍的HBr、於68sccm至92sccm之流動速率的CO2 、在26sccm至35sccm之流動速率的O2 、及於170sccm至230sccm之流動速率的He之組合作為蝕刻氣體化學物質。一般技藝者會認知到另外的實施例,包括可取決於用在該OPL層320之材料所使用的替代氣體組合或處理參數範圍。
於圖3D之製程中,該TEOS層318可在藉由該OPL層320所界定之圖案中蝕刻。可使用複數組之合適處理參數的其中一者移去該TEOS層318。譬如,於一實施例中,能以26mT至35mT之範圍中的壓力、在170W至230W之範圍中的高頻電力、於680W至920W之範圍中的低頻電力、及在43°C至69°C之範圍中的溫度施行該TEOS層318蝕刻製程。於一此實施例中,可使用在765sccm至1035sccm之流動速率範圍的Ar、於9sccm至19sccm之流動速率的C4 F8 、在4sccm至6sccm之流動速率的O2 、及於85sccm至115sccm之流動速率的N2 之組合作為蝕刻氣體化學物質。一般技藝者會認知到另外的實施例,包括可取決於用在該TEOS層318之材料所使用的替代氣體組合或處理參數範圍。
於一實施例中,可根據圖3E之製程蝕刻該第三TaN層316。在此實施例中,可於藉由該TEOS層318所界定的圖案中打開該TaN層316。在一實施例中,能以34mT至46mT之範圍中的壓力、於255W至345W之範圍中的高頻電力、在150W至200W之範圍中的低頻電力、及於38°C至52°C之範圍中的溫度施行該第三TaN層316蝕刻製程。在一此實施例中,可使用於170sccm至230sccm之流動速率範圍的Ar、在43sccm至58sccm之流動速率的S4 F6 、及於10sccm至14sccm之流動速率的BCl3 之組合作為蝕刻氣體化學物質。一般技藝者會認知到另外的實施例,包括可取決於該應用或目標處理結果所使用之替代氣體組合或處理參數範圍。
雖然參考在該第三TaN層316上所施行的製程敘述本實施例,一般技藝者會認知到所述製程係同樣可適用於TaN之其他層,包括該第一TaN層302及該第二TaN層306。實際上,在各種結構或應用中,所述實施例於處理TaN中可為有用的。再者,可與除TaN之外的物質一起使用同等製程,在此該等材料表現出類似之蝕刻輪廓並對該等蝕刻氣體中的添加劑之回應類似。
圖4A說明用於蝕刻例如第三TaN層316之TaN材料以用於形成圖案化特徵部402的基線製程。在一實施例中,該圖案化特徵部402可包括該第三TaN層316的圖案化部份。於另一實施例中,該圖案化特徵部402可包括該TEOS層318之一部份。在所述實施例中,包括SF6 的電漿蝕刻氣體係使用於蝕刻該第三TaN層316。在此實施例中,SF6 及TaN之反應並不會提供充分的側壁鈍化,以防止該第三TaN層316相對於該TEOS層318之底部蝕刻。於此實施例中,該TaN可被各向同性地蝕刻至使該等下伏層(例如該含金屬堆疊308)圖案化到可能損壞或實質降級的程度。因此,圖4A之製程可能不足以用於某些應用或可能減少整體產物生產量。
圖4B的實施例包括在該蝕刻氣體化學物質中添加BCl3 。於此實施例中,該硼可與TaN中的氮反應,以在該TaN層之側壁上產生氮化硼(BN)鈍化層404。該氮化硼(BN)可鈍化該TaN層,從而藉由減慢沿著該等側壁的第三TaN層316之蝕刻來減少該第三TaN層316的頸縮。
圖4C之實施例說明替代實施例,在此HBr氣體係加至該電漿氣體化學物質中。於此實施例中,來自該HBr的氫(H)可與來自SF6 的氟(F)結合以減少該電漿中之F自由基。減少該等F自由基可降低該第三TaN層316的側壁之蝕刻速率。再者,來自HBr的溴(Br)可與來自TaN之鉭(Ta)結合以在該第三TaN層316的側壁上產生溴化鉭(TaBr)鈍化層406。
圖5係尺寸圖,說明根據關於圖4A所敘述之基線製程在基板502上所形成的圖案化特徵部402之一實施例的截面之尺寸。該基板502係類似於圖4A中的堆疊308之含金屬薄膜。在一實施例中,所得到的圖案化特徵部402具有45-65nm之基底寬度、35-55nm的頸部寬度、和45-65nm之帽蓋寬度。該圖案化特徵部402另包括TaN層,其高度為80-100nm,且總高度為100-120nm。
於圖5的實施例中,該蝕刻製程可為在34mT至46mT的範圍中之壓力、255W至345W的範圍中之高頻功率、150W至230W的範圍中之低頻功率、及在38°C至52°C的範圍中之溫度下施行。於一個此實施例中,可使用在170sccm至230sccm之流動速率範圍的Ar、及於43sccm至58sccm之流動速率的六氟化硫(SF6 )之組合作為蝕刻氣體化學物質。
作為比較,圖6A-6F說明在基板502上所形成的圖案化特徵部402之截面。於各種實施例中,可在各種流動速率範圍下將額外的氣體添加至該蝕刻化學物質中。例如,可將BCl3 、HBr、CH4 、CHF3 等添加至該蝕刻化學物質中。
圖6A說明使用以下製程形成圖案化特徵402的結果,該製程包括於10sccm至14sccm的流動速率範圍下將BCl3 添加至該蝕刻化學物質而用於85%之蝕刻製程。在沒有該額外的BCl3 之情況下施行剩餘的15%以回蝕刻該BN鈍化層404。圖6B的結果係使用包括在該整個TaN蝕刻期間將BCl3 添加至該蝕刻化學物質之製程所產生。這兩個結果顯示該BN鈍化層404在該TaN上的累積,且兩個結果顯示在圖案化之後改善的第三TaN層316之截面尺寸。
圖6C顯示製程的結果,該製程包括於10sccm至14sccm之流動速率範圍將HBr氣體添加至該蝕刻化學物質而用於85%的蝕刻製程。在沒有額外的HBr之情況下施行剩餘的15%以回蝕刻該TaBr鈍化層406。所得到之圖案化特徵402具有45-65nm的基底寬度、35-55nm之頸部寬度、和35-55nm的帽蓋寬度,具有80-100nm之TaN層高度,且總特徵部高度為100-120nm。此結果顯示在該基線製程上的改進,而沒有像BCl3 之實施例那樣多的側壁鈍化材料之累積。使用HBr的實施例具有不將額外之氯(Cl)導入該電漿室110的額外益處,因為已知Cl係腐蝕劑。
在圖6D-6F中說明額外實施例之結果。圖6D說明一實施例,在此於10sccm至14sccm流動速率的氟仿(CHF3 )係添加至該蝕刻氣體化學物質而用於85%之蝕刻時期。圖6E說明一實施例的結果,在此甲烷(CH4 )係添加至該蝕刻氣體化學物質而用於85%之蝕刻時期。兩個實施例顯示對該TaN側壁鈍化的重要控制。
圖6F顯示該基線製程之實施例的結果,在此於該基板夾具120的溫度係在該蝕刻製程期間由40°C減少至20°C。溫度之減少顯示該TaN/TEOS選擇性的進一步改良,如此包括溫度及壓力之額外處理參數的控制可使用於該等TaN側壁之鈍化。
圖7A-7C說明用於圖案化TaN的方法之實驗結果的截面圖。圖7A說明藉由在30°C將BCl3 鈍化氣體之12sccm添加至該電漿化學物質所施行的方法之結果。圖7B說明藉由在45°C將BCl3 鈍化氣體的12sccm添加至該電漿化學物質所施行之方法的結果。圖7C說明藉由在45°C及在60 mT之壓力下將BCl3 鈍化氣體的12sccm添加至該電漿化學物質所施行之方法的結果。雖然每一結果係比該基線製程更好,但是從這些結果可清楚地看出,控制該處理室110內之溫度和壓力可控制該等結果,從而滿足該等目標處理結果。目標處理結果的範例可包括該圖案化特徵部402之臨界尺寸、該第三TaN層316的直立壁面上之鈍化層累積量、該TEOS帽蓋的尺寸和形狀與類似者等。
圖8A-8B說明將HBr作為鈍化氣體添加至該蝕刻化學物質所施行之圖案化TaN的方法之實驗結果的截面圖。圖8A說明在45℃和40mT之壓力下將50sccm的SF6 與12sccm之HBr結合的結果。圖8B說明在45℃和40mT之壓力下將50sccm的SF6 與24sccm之HBr結合的結果。如所說明,變動該蝕刻化學物質中之鈍化氣體的濃度也可調節該結果。如此,同樣可控制氣體之濃度以滿足一或多個目標處理結果。
雖然已在此中敘述特定的處理參數,以能夠用於產生類似於圖6A-8B中所示之結果的配方之實施例,一般技藝者會認知到,可在範圍內控制所敘述的參數以達成目標處理結果。例如,該鈍化氣體之流動速率可為在1-50sccm或12-24sccm的範圍內。實際上,取決於裝置和系統要求,在一些實施例中可使用更大之流動速率。另外,操作壓力可為於1-100mT或34至60mT的範圍內。在一些實施例中也可使用更高之壓力,這取決於裝置和系統要求。類似地,溫度可控制在攝氏30-60度的範圍內。一般技藝者會認知到,取決於裝置和系統要求,可使用更高或更低之溫度、例如於攝氏1-100度的範圍內。實際上,取決於裝置和系統要求,可使用多種溫度。
額外優點及修改對於那些熟諳此技術領域者將輕易地顯現。因此,本發明在更廣泛之態樣中係不限於該特定細節、代表性設備和方法、及所顯示和敘述的說明性範例。據此,可在不偏離一般發明構思範圍之情况下由此等細節偏離。
100‧‧‧蝕刻和鈍化處理系統
110‧‧‧處理室
120‧‧‧基板夾具
122‧‧‧電極
125‧‧‧晶圓
126‧‧‧背側氣體供給系統
128‧‧‧靜電夾持系統
130‧‧‧RF產生器
131‧‧‧偏壓信號控制器
132‧‧‧阻抗匹配網路
140‧‧‧氣體分配系統
145‧‧‧處理區域
150‧‧‧真空泵送系統
155‧‧‧來源控制器
170‧‧‧上電極
172‧‧‧RF產生器
174‧‧‧阻抗匹配網路
190‧‧‧電源
302‧‧‧第一TaN層
304‧‧‧銅(Cu)層
306‧‧‧第二TaN層
308‧‧‧含金屬堆疊
316‧‧‧第三TaN層
318‧‧‧四乙氧基矽烷(TEOS)層
320‧‧‧有機平坦化(OPL)層
322‧‧‧矽抗反射塗覆(SiARC)層
324‧‧‧光阻層
402‧‧‧圖案化特徵部
404‧‧‧氮化硼(BN)鈍化層
406‧‧‧溴化鉭(TaBr)鈍化層
502‧‧‧基板
併入及構成本說明書之一部份的附圖說明本發明之實施例,且隨同上面給出的發明之一般敘述、及下面給出的詳細描述一起用於敘述本發明。
圖1說明用於TaN層中之特徵部的圖案化系統之一實施例。
圖2A說明用於TaN層中的特徵部之圖案化方法的一實施例。
圖2B說明用於TaN層中之特徵部的圖案化方法之另一實施例。
圖3A係截面圖,說明用於處理圖案化工件的工作流程之一實施例。
圖3B係截面圖,說明用於處理圖案化工件的工作流程之一實施例。
圖3C係截面圖,說明用於處理圖案化工件的工作流程之一實施例。
圖3D係截面圖,說明用於處理圖案化工件的工作流程之一實施例。
圖3E係截面圖,說明用於處理圖案化工件的工作流程之一實施例。
圖4A係截面圖,說明具有圖案化TaN層的工件之一實施例。
圖4B係截面圖,說明具有圖案化TaN層的工件之一實施例。
圖4C係截面圖,說明具有圖案化TaN層的工件之一實施例。
圖5係尺寸圖,說明在TaN層中所圖案化的特徵部之尺寸。
圖6A係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖6B係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖6C係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖6D係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖6E係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖6F係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖7A係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖7B係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖7C係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖8A係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。
圖8B係截面圖,說明根據用於TaN層中的特徵部之圖案化方法的一實施例而在TaN層中圖案化之特徵部的輪廓。

Claims (21)

  1. 一種用於處理基板的方法,包含: 承納包含氮化鉭(TaN)層之基板; 蝕刻該基板,以暴露該TaN層的至少一部份; 施行鈍化製程,以減少該TaN層之橫側蝕刻;及 蝕刻該TaN層,以在其中形成特徵部; 其中控制該鈍化製程以滿足一或多個目標鈍化結果。
  2. 如申請專利範圍第1項之用於處理基板的方法,其中施行該鈍化製程係與蝕刻該TaN層同時地施行。
  3. 如申請專利範圍第1項之用於處理基板的方法,其中施行該鈍化製程另包含減少用於蝕刻該TaN層之電漿中的自由基數目。
  4. 如申請專利範圍第1項的之用於處理基板方法,其中施行該鈍化製程另包含減少由用於蝕刻該TaN層所使用之六氟化硫(SF6 )氣體所形成的電漿中之氟(F)自由基。
  5. 如申請專利範圍第4項之用於處理基板的方法,另包含將溴化氫(HBr)加至該SF6 氣體,來自該HBr之氫減少該SF6 電漿中的F自由基數目。
  6. 如申請專利範圍第1項之用於處理基板的方法,其中施行該鈍化製程另包含將鈍化氣體加至電漿氣體混合物。
  7. 如申請專利範圍第6項之用於處理基板的方法,其中該鈍化氣體包含三氯化硼(BCl3 )氣體。
  8. 如申請專利範圍第6項之用於處理基板的方法,其中該鈍化氣體包含溴化氫(HBr)氣體。
  9. 如申請專利範圍第6項之用於處理基板的方法,其中該鈍化氣體包含三氟甲烷(CHF3 )氣體。
  10. 如申請專利範圍第6項之用於處理基板的方法,其中該鈍化氣體包含甲烷(CH4 )氣體。
  11. 如申請專利範圍第6項之用於處理基板的方法,其中控制該鈍化製程另包含控制該鈍化氣體之流動速率。
  12. 如申請專利範圍第11項之用於處理基板的方法,其中該鈍化氣體之流動速率係在1-50sccm的範圍或於12-24sccm之範圍中。
  13. 如申請專利範圍第1項之用於處理基板的方法,其中控制該鈍化製程另包含控制處理室內之壓力。
  14. 如申請專利範圍第13項之用於處理基板的方法,其中該壓力係在1-100mT之範圍中或於34-60mT的範圍中。
  15. 如申請專利範圍第1項之用於處理基板的方法,其中控制該鈍化製程另包含控制處理室內之溫度。
  16. 如申請專利範圍第15項之用於處理基板的方法,其中該溫度係於攝氏30-60度之範圍中。
  17. 如申請專利範圍第1項之用於處理基板的方法,其中該鈍化氣體包含三氯化硼(BCl3 )氣體及溴化氫(HBr)氣體。
  18. 如申請專利範圍第1項之用於處理基板的方法,其中該鈍化製程及蝕刻製程係反覆執行,以滿足鈍化目標。
  19. 一種處理基板的方法,該方法包含: 在製程室中提供一輸入圖案化特徵部,其包含圖案化層及氮化鉭(TaN)層; 使用罩幕在該圖案化層上施行一系列材料打開製程,該打開製程建立一中間圖案化特徵部; 在該中間特徵部上施行鈍化製程及蝕刻製程,該鈍化製程使用含硼及/或含氫氣體混合物,該鈍化製程及該蝕刻製程產生一輸出圖案化特徵部; 調整該鈍化製程及該蝕刻製程之一或多個操作變數,以便達成一或多個製程目標。
  20. 如申請專利範圍第19項之處理基板的方法,其中該一或多個操作變數包含下列之一或多個:該含硼氣體之流動速率、該含氫氣體的流動速率、該含硼氣體對該含氫氣體之流動速率比、包括氬、SF6 的其他氣體之流動速率、高頻電力、低頻電力、該製程室中的壓力、及靜電卡盤溫度。
  21. 如申請專利範圍第19項之處理基板的方法,其中該一或多個製程目標包含下列之一或多個:該TaN層之目標蝕刻速率、包含目標基底寬度、目標頸部寬度、目標帽蓋寬度、目標高度之該圖案化特徵部之目標輪廓、及/或該輸出圖案化特徵部的目標總高度。
TW107133770A 2017-09-26 2018-09-26 氮化鉭層中之特徵部的圖案化系統及方法 TWI767061B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762563183P 2017-09-26 2017-09-26
US62/563,183 2017-09-26
US16/032,759 2018-07-11
US16/032,759 US10672618B2 (en) 2017-09-26 2018-07-11 Systems and methods for patterning features in tantalum nitride (TaN) layer

Publications (2)

Publication Number Publication Date
TW201923887A true TW201923887A (zh) 2019-06-16
TWI767061B TWI767061B (zh) 2022-06-11

Family

ID=65809076

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133770A TWI767061B (zh) 2017-09-26 2018-09-26 氮化鉭層中之特徵部的圖案化系統及方法

Country Status (3)

Country Link
US (1) US10672618B2 (zh)
KR (1) KR102349721B1 (zh)
TW (1) TWI767061B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11158788B2 (en) * 2018-10-30 2021-10-26 International Business Machines Corporation Atomic layer deposition and physical vapor deposition bilayer for additive patterning
JPWO2020100339A1 (ja) * 2019-06-26 2021-02-15 株式会社日立ハイテク プラズマ処理方法
US20220254617A1 (en) * 2021-02-10 2022-08-11 Tokyo Electron Limited Plasma processing apparatus and monitoring device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000353804A (ja) * 1999-06-11 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
ES2176071B1 (es) * 2000-03-01 2003-11-01 Tubsa Automocion Sl Procedimiento de fabricacion de un cuerpo de pedal de freno para vehiculos automoviles.
US6531404B1 (en) 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
US20020132488A1 (en) * 2001-01-12 2002-09-19 Applied Materials, Inc. Method of etching tantalum
US6503845B1 (en) * 2001-05-01 2003-01-07 Applied Materials Inc. Method of etching a tantalum nitride layer in a high density plasma
US20050079703A1 (en) * 2003-10-09 2005-04-14 Applied Materials, Inc. Method for planarizing an interconnect structure
JP2007081383A (ja) * 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
US9111746B2 (en) * 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching

Also Published As

Publication number Publication date
US20190096672A1 (en) 2019-03-28
TWI767061B (zh) 2022-06-11
KR102349721B1 (ko) 2022-01-10
US10672618B2 (en) 2020-06-02
KR20190035593A (ko) 2019-04-03

Similar Documents

Publication Publication Date Title
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
US9748110B2 (en) Method and system for selective spacer etch for multi-patterning schemes
TW201142941A (en) Method of controlling trench microloading using plasma pulsing
KR102250213B1 (ko) 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
TWI767061B (zh) 氮化鉭層中之特徵部的圖案化系統及方法
TW202004910A (zh) 用於低深寬比堆疊圖案化的方法和系統
US10260150B2 (en) Method and system for sculpting spacer sidewall mask
US10777456B1 (en) Semiconductor back end of line (BEOL) interconnect using multiple materials in a fully self-aligned via (FSAV) process
TWI719257B (zh) 用於自對準多重圖案化技術之間隔件形成
TW201939580A (zh) 藉由多色圖案化技術的線切方法
US11515203B2 (en) Selective deposition of conductive cap for fully-aligned-via (FAV)
KR102419532B1 (ko) 질화물 에칭을 위한 표면 보수 방법
US10937664B2 (en) Surface modification process
JP7426840B2 (ja) エッチング方法及びプラズマ処理装置
US11227774B2 (en) Methods and systems for etching silicon cyanide (SiCN) with multi-color selectivity
CN107690694B (zh) 通过溢料添加进行部分蚀刻记忆