CN111243931A - 用于在间隙填充中沉积和蚀刻的装置和方法 - Google Patents
用于在间隙填充中沉积和蚀刻的装置和方法 Download PDFInfo
- Publication number
- CN111243931A CN111243931A CN202010046740.4A CN202010046740A CN111243931A CN 111243931 A CN111243931 A CN 111243931A CN 202010046740 A CN202010046740 A CN 202010046740A CN 111243931 A CN111243931 A CN 111243931A
- Authority
- CN
- China
- Prior art keywords
- showerhead
- deposition
- generator
- pedestal
- etch
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/4554—Plasma being used non-continuously in between ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32577—Electrical connecting means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Abstract
本发明提供了用于在间隙填充中沉积和蚀刻的装置和方法。提供了用于在集成工具中执行沉积和蚀刻工艺的装置和方法。装置可以包括等离子体处理室,其是电容耦合等离子体反应器,等离子体处理室可以包括:包括上电极的喷头和包括下电极的基座。该装置可以配置有RF硬件配置,使得RF发生器可以在沉积模式下为上电极供电,并在蚀刻模式下为下电极供电。在一些实施方案中,该装置可以包括一个或多个开关,使得在沉积模式下至少HFRF发生器电连接到喷头,并且在蚀刻模式下HFRF发生器和LFRF发生器电连接到基座并且喷头接地。
Description
本申请是申请号为201710522311.8、申请日为2017年6月30日、发明名称为“用于在间隙填充中沉积和蚀刻的装置和方法”的发明专利申请的分案申请。
技术领域
本发明总体上涉及半导体处理领域,更具体地涉及用于在间隙填充中沉积和蚀刻的装置和方法。
背景技术
集成电路的制造包括许多不同的处理步骤。经常使用的操作之一是将电介质膜沉积到在半导体晶片之上或之中图案化的特征之间的间隙中。沉积这种材料的目的之一是在间隙中形成无空隙的无缝填充物。
虽然已经使用诸如高密度等离子体(HDP)、亚大气压化学气相沉积(SACVD)和低压化学气相沉积(LPCVD)之类的沉积方法进行间隙填充,但是这些方法不能实现期望的填充能力和保形性。可流动的化学气相沉积和旋涂电介质(SOD)方法可以实现期望的填充,但倾向于沉积高度多孔的膜。此外,整合这些方法特别复杂并且成本高昂,因为它们需要许多额外的处理步骤。原子层沉积(ALD)工艺也用于间隙填充,以提高保形性,但是这些工艺遭受处理时间长、吞吐量低的问题,特别是对于较大的间隙亦是如此。此外,ALD工艺的保形性意味着间隙的深宽比随着连续循环而增加。因此,间隙的顶部可以比底部更快地填充,从而防止前体材料进一步扩散到间隙中。区域可以膨胀,使得空隙可以在高深宽比间隙的中间形成。
在一些情况下,使用多步骤沉积工艺,包括沉积-蚀刻-沉积工艺,其需要在后续沉积操作之间进行不同的蚀刻操作。可以进行蚀刻以补偿或防止间隙中的空隙形成。具体地,蚀刻步骤可以是产生锥形正斜率轮廓的各向异性蚀刻,以便通过在正的锥形斜率而不是垂直斜率上沉积随后的层来进行间隙填充。这可以最小化间隙中空隙形成的发生。空隙可能导致高电阻、污染、填充材料的损失、以及以其他方式降低集成电路的性能。
发明内容
本公开涉及用于执行沉积和蚀刻工艺的集成装置。集成装置包括处理室,其中处理室包括喷头和基座。集成装置还包括低频射频(LFRF)发生器、高频射频(HFRF)发生器和可操作地耦合到LFRF发生器和HFRF发生器中的一者或两者的一个或多个开关。一个或多个开关被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中在沉积模式下一个或多个开关将至少HFRF发生器耦合至喷头,和(2)用于执行蚀刻工艺的蚀刻模式,其中在所述蚀刻模式下所述一个或多个开关将所述HFRF发生器和所述LFRF发生器耦合到所述基座并将所述喷头接地。
在一些实施方案中,所述处理室是电容耦合等离子体(CCP)反应器,并且其中所述喷头包括上电极,所述基座包括下电极。在一些实施方案中,在所述沉积模式下所述一个或多个开关将所述HFRF发生器和所述LFRF发生器耦合到所述喷头并将所述基座接地。在一些实施方案中,所述一个或多个开关包括:第一站继电器开关,其被配置为在沉积模式下将所述LFRF发生器和所述HFRF发生器电连接到所述喷头;以及第二站继电器开关,其被配置为在蚀刻模式下将所述LFRF发生器和所述HFRF发生器电连接到所述基座。在一些实施方案中,所述第一站继电器开关被配置为切换到第一位置以将所述LFRF发生器和所述HFRF发生器电连接到所述喷头并切换到第二位置以将所述喷头接地,并且其中所述第二站继电器开关被配置为切换到第一位置以将所述LFRF发生器和所述HFRF发生器电连接到所述基座并切换到第二位置以将所述基座接地,其中所述第一站继电器开关的所述第一位置与所述第二站继电器开关的所述第二位置同步,并且所述第二站继电器开关的所述第一位置与所述第一站继电器开关的所述第二位置同步。在一些实施方案中,所述LFRF发生器是第一集成电路板的一部分,并且所述HFRF发生器是第二集成电路板的一部分。在一些实施方案中,所述一个或多个开关包括可操作地耦合到所述HFRF发生器并且被配置为在以下操作之间切换的开关:在所述沉积模式下将功率从所述HFRF发生器输送至所述喷头,以及在蚀刻模式下将功率从所述HFRF发生器输送到所述基座。
本公开还涉及用于执行沉积和蚀刻工艺的集成装置。所述集成装置包括处理室,其中所述处理室包括喷头和基座。所述集成装置还包括集成电路板,其中所述集成电路板包括一个或多个HF/LF RF发生器。所述集成装置还包括一个或多个开关,其能操作地耦合到所述一个或多个HF/LF RF发生器,所述一个或多个开关被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中在所述沉积模式下所述一个或多个开关将所述HF/LF RF发生器中的至少一个耦合到所述喷头,以及(2)用于执行蚀刻工艺的蚀刻模式,其中在所述蚀刻模式下所述一个或多个开关将所述HF/LF RF发生器中的至少一个耦合到所述基座。
在一些实施方案中,所述处理室是CCP反应器,并且其中所述喷头包括上电极,所述基座包括下电极。在一些实施方案中,所述集成电路板包括单个HF/LF RF发生器。在一些实施方案中,所述一个或多个开关包括:第一站继电器开关,其被配置为在沉积模式下将所述HF/LF RF发生器中的一个电连接到所述喷头;以及第二站继电器开关,其被配置为在蚀刻模式下将所述HF/LF RF发生器中的一个电连接到所述基座。在一些实施方案中,所述第一站继电器开关被配置为切换到第一位置,以在所述沉积模式下将所述HF/LF RF发生器中的一个电连接到所述喷头,并切换到第二位置以将所述喷头接地,而所述第二站继电器开关被配置为切换到第一位置,以在所述蚀刻模式下将所述HF/LF RF发生器中的一个电连接到所述基座,并切换到第二位置以将所述基座接地,其中所述第一站继电器开关的所述第一位置与所述第二站继电器开关的所述第二位置同步,并且所述第二站继电器开关的所述第一位置与所述第一站继电器开关的所述第二位置同步。在一些实施方案中,所述一个或多个开关还包括基座接地继电器开关以在沉积模式下在所述HF/LF RF发生器中的一个操作性地耦合到所述喷头时将所述基座接地;以及喷头接地继电器开关以在所述蚀刻模式下在所述HF/LF RF发生器中的一个操作性地耦合到所述基座时将所述喷头接地。
本公开还涉及一种填充晶片中的一个或多个间隙的方法。所述方法包括:设置晶片在等离子体处理室中的基座上,其中所述晶片具有一个或多个间隙,每个间隙各自具有大于约5:1的深度比宽度的宽度比;在所述等离子体处理室中,通过ALD在所述一个或多个间隙中沉积第一电介质层;在等离子体处理室中利用斜率控制对所述第一电介质层进行各向异性蚀刻;以及在所述等离子体处理室中通过ALD在所述第一电介质层上的所述一个或多个间隙中沉积第二电介质层。
在一些实施方案中,在沉积所述第一电介质层时,在用斜率控制对所述第一电介质层进行各向异性蚀刻时,以及在沉积所述第二电介质层时,晶片温度在约80℃至约400℃之间。在一些实施方案中,在沉积所述第一电介质层时,在用斜率控制对所述第一电介质层进行各向异性蚀刻时,以及在沉积所述第二电介质层时,压强在约0.3至约1.0托之间。在一些实施方案中,所述方法还包括:在用斜率控制对所述第一电介质层进行各向异性蚀刻之前,切换以将低频功率和高频功率施加到所述等离子体处理室中的所述基座,并且将所述等离子体处理室中的喷头接地;以及在沉积所述第二电介质层之前,切换以将所述高频功率施加到所述等离子体处理室中的所述喷头,并且将所述等离子体处理室中的所述基座接地。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于执行沉积和蚀刻工艺的集成装置,所述装置包括:
处理室,其中所述处理室包括喷头和基座;
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;以及
一个或多个开关,其能操作地耦合到所述低频射频发生器和所述高频射频发生器中的一者或两者,所述一个或多个开关被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中在所述沉积模式下所述一个或多个开关将至少所述高频射频发生器耦合到所述喷头,和(2)用于执行蚀刻工艺的蚀刻模式,其中在所述蚀刻模式下所述一个或多个开关将所述高频射频发生器和所述低频射频发生器耦合到所述基座并将所述喷头接地。
2.根据条款1所述的装置,其中所述处理室是电容耦合等离子体(CCP)反应器,并且其中所述喷头包括上电极,所述基座包括下电极。
3.根据条款1所述的装置,其中在所述沉积模式下所述一个或多个开关将所述高频射频发生器和所述低频射频发生器耦合到所述喷头并将所述基座接地。
4.根据条款1所述的装置,其中所述一个或多个开关包括:
第一站继电器开关,其被配置为在沉积模式下将所述低频射频发生器和所述高频射频发生器电连接到所述喷头;以及
第二站继电器开关,其被配置为在所述蚀刻模式下将所述低频射频发生器和所述高频射频发生器电连接到所述基座。
5.根据条款4所述的装置,其中所述第一站继电器开关被配置为切换到第一位置以将所述低频射频发生器和所述高频射频发生器电连接到所述喷头并切换到第二位置以将所述喷头接地,并且其中所述第二站继电器开关被配置为切换到第一位置以将所述低频射频发生器和所述高频射频发生器电连接到所述基座并切换到第二位置以将所述基座接地,其中所述第一站继电器开关的所述第一位置与所述第二站继电器开关的所述第二位置同步,并且所述第二站继电器开关的所述第一位置与所述第一站继电器开关的所述第二位置同步。
6.根据条款4所述的装置,其还包括:
一个或多个滤波器,其选择性地过滤来自所述低频射频发生器的低频信号以防止在所述沉积模式下到达喷头。
7.根据条款1-6中任一项所述的装置,其中所述低频射频发生器是第一集成电路板的一部分,并且所述高频射频发生器是第二集成电路板的一部分。
8.根据条款1-6中任一项所述的装置,其中所述一个或多个开关包括能操作地耦合到所述高频射频发生器并且被配置为在以下操作之间切换的开关:在所述沉积模式下将功率从所述高频射频发生器输送至所述喷头,以及在所述蚀刻模式下将功率从所述高频射频发生器输送到所述基座。
9.根据条款8所述的装置,其中在所述沉积模式下所述高频射频发生器通过第一集成电路板耦合到所述喷头,并且在所述蚀刻模式下所述高频射频发生器和所述低频射频发生器通过第二集成电路板耦合到所述基座。
10.根据条款9所述的装置,其中所述第一集成电路板和所述第二集成电路板经由同步的继电器控制通信地耦合,所述同步的继电器控制通信地耦合到所述开关。
11.根据条款1-6中任一项所述的装置,其还包括:
控制器,其配置有用于执行以下操作的指令:
(a)设置晶片在所述基座上,其中所述晶片具有各自具有大于约5:1的深度比宽度的深宽比的一个或多个间隙;
(b)在所述沉积模式下在所述处理室中通过原子层沉积(ALD)在所述一个或多个间隙中沉积第一电介质层;
(c)在所述蚀刻模式下在所述处理室中用斜率控制各向异性地蚀刻所述第一电介质层;以及
(d)在所述沉积模式下在所述处理室中通过ALD在所述第一电介质层上的所述一个或多个间隙中沉积第二电介质氧化物层。
12.一种用于执行沉积和蚀刻工艺的集成装置,所述装置包括:
处理室,其中所述处理室包括喷头和基座;
集成电路板,其中所述集成电路板包括一个或多个HF RF发生器/LF RF发生器;
一个或多个开关,其能操作地耦合到所述一个或多个HF RF发生器/LF RF发生器,所述一个或多个开关被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中在所述沉积模式下所述一个或多个开关将所述HF RF发生器/LF RF发生器中的至少一个耦合到所述喷头,以及(2)用于执行蚀刻工艺的蚀刻模式,其中在所述蚀刻模式下所述一个或多个开关将所述HF RF发生器/LF RF发生器中的至少一个耦合到所述基座。
13.根据条款12所述的装置,其中所述处理室是CCP反应器,并且其中所述喷头包括上电极,所述基座包括下电极。
14.根据条款12所述的装置,其中所述集成电路板包括单个HF RF发生器/LF RF发生器。
15.根据条款12所述的装置,其中所述一个或多个开关包括:
第一站继电器开关,其被配置为在沉积模式下将所述HF RF发生器/LF RF发生器中的一个电连接到所述喷头;以及
第二站继电器开关,其被配置为在蚀刻模式下将所述HF RF发生器/LF RF发生器中的一个电连接到所述基座。
16.根据条款15所述的装置,其中,所述第一站继电器开关被配置为切换到第一位置,以在所述沉积模式下将所述HF RF发生器/LF RF发生器中的一个电连接到所述喷头,并切换到第二位置以将所述喷头接地,并且其中所述第二站继电器开关被配置为切换到第一位置,以在所述蚀刻模式下将所述HF RF发生器/LF RF发生器中的一个电连接到所述基座,并切换到第二位置以将所述基座接地,其中所述第一站继电器开关的所述第一位置与所述第二站继电器开关的所述第二位置同步,并且所述第二站继电器开关的所述第一位置与所述第一站继电器开关的所述第二位置同步。
17.根据条款16所述的装置,其中所述一个或多个开关还包括:
基座接地继电器开关,以在所述沉积模式下,在所述HF RF发生器/LF RF发生器中的一个操作性地耦合到所述喷头时,将所述基座接地;以及
喷头接地继电器开关,以在所述蚀刻模式下,在所述HF RF发生器/LF RF发生器中的一个能操作地耦合到所述基座时,将所述喷头接地。
18.根据条款17所述的装置,其中,所述第一站继电器开关、所述第二站继电器开关、所述喷头接地继电器开关和所述基座接地继电器开关同步,使得在所述沉积模式下所述HFRF发生器/LF RF发生器中的一个电连接到所述喷头并且所述基座接地,并且在所述蚀刻模式下所述HF RF发生器/LF RF发生器中的一个电连接到所述基座并且所述喷头接地。
19.根据条款12-18中任一项所述的装置,其还包括:
控制器,其配置有用于执行以下操作的指令:
(a)设置晶片在所述基座上,其中所述晶片具有各自具有大于约5:1的深宽比宽度的深宽比的一个或多个间隙;
(b)在所述沉积模式下在所述处理室中通过原子层沉积(ALD)在所述一个或多个间隙中沉积第一电介质层;
(c)在所述蚀刻模式下在所述处理室中用斜率控制各向异性地蚀刻所述第一电介质层;以及
(d)在所述沉积模式下在所述处理室中通过ALD在所述第一电介质层上的所述一个或多个间隙中沉积第二电介质氧化物层。
20.一种填充晶片中的一个或多个间隙的方法,所述方法包括:
设置晶片在等离子体处理室中的基座上,其中所述晶片具有各自具有大于约5:1的深度比宽度的宽度比的一个或多个间隙;
在所述等离子体处理室中,通过ALD在所述一个或多个间隙中沉积第一电介质层;
在所述等离子体处理室中利用斜率控制对述第一电介质层进行各向异性蚀刻;以及
在所述等离子体处理室中,通过ALD在所述第一电介质层上的所述一个或多个间隙中沉积第二电介质层。
21.根据条款20所述的方法,其中在沉积所述第一电介质层时,在用斜率控制对所述第一电介质层进行各向异性蚀刻时,以及在沉积所述第二电介质层时,晶片温度在约80℃至约400℃之间。
22.根据条款20所述的方法,其中在沉积所述第一电介质层时,在用斜率控制对所述第一电介质层进行各向异性蚀刻时,以及在沉积所述第二电介质层时,压强在约0.3至约1.0托之间。
23.根据条款20-22中任一项所述的方法,其中在沉积所述第一电介质层时,在用斜率控制对所述第一电介质层进行各向异性蚀刻时,以及在沉积所述第二电介质层时,施加到所述等离子体处理室的低频功率在约1500W至约6000W之间,并且其中在沉积所述第一电介质层时,在用斜率控制对所述第一电介质层进行各向异性蚀刻时,以及在沉积所述第二电介质层时,施加到所述等离子体处理室的高频功率在约0W至约5000W之间。
24.根据条款23所述的方法,其中用于沉积所述第一电介质层、利用斜率控制对所述第一电介质层进行各向异性蚀刻,以及沉积所述第二电介质层的所述低频率功率的低频为约400kHz,并且其中用于沉积所述第一电介质层,利用斜率控制对所述第一电介质层进行各向异性蚀刻,以及沉积所述第二电介质层的高频功率的高频率为约13.56MHz。
25.根据条款20-22中任一项所述的方法,其还包括:
在用斜率控制对所述第一电介质层进行各向异性蚀刻之前,切换以将低频功率和高频功率施加到所述等离子体处理室中的所述基座,并且将所述等离子体处理室中的喷头接地;以及
在沉积所述第二电介质层之前,切换以将所述高频功率施加到所述等离子体处理室中的所述喷头,并且将所述等离子体处理室中的所述基座接地。
下面参照附图进一步描述这些和其它实施方案。
附图说明
图1A-1C描绘了在沉积-蚀刻-沉积间隙填充工艺的各个阶段具有间隙的晶片的示例性横截面。
图2示出了用于在常规沉积-蚀刻-沉积间隙填充工艺中进行沉积工艺的示例性装置的示意图。
图3示出了示例性多站处理工具的示意图。
图4A示出了包括用于进行沉积工艺的电容耦合等离子体(CCP)反应器的示例性装置的示意图。
图4B示出了包括用于进行蚀刻工艺的CCP反应器的示例性装置的示意图。
图5示出了根据一些实施方案的包括被配置为在沉积模式和蚀刻模式之间切换的等离子体处理室的示例性集成装置的示意图。
图6示出了根据一些实施方案的在沉积模式和蚀刻模式之间切换的示例性方案的框图。
图7示出了根据一些实施方案的在沉积模式和蚀刻模式之间切换的替代示例性方案的框图。
图8示出了根据一些实施方案的包括被配置为在沉积模式和蚀刻模式之间切换的等离子体处理室的示例性集成装置的示意图。
图9A示出了用于进行沉积-蚀刻-沉积间隙填充工艺的示例性常规多站处理工具的示意图。
图9B示出了包括用于进行沉积-蚀刻-沉积间隙填充工艺的所公开的集成装置的示例性多站处理工具的示意图。
图10示出了说明用于在晶片上执行沉积-蚀刻-沉积间隙填充工艺的示例性工艺流程的流程图。
具体实施方式
介绍
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。所公开的实施方式可以在没有这些具体细节中的一些或全部的情况下实现。在其他情况下,未详细描述公知的处理操作,以免不必要地使所公开的实施方式不清楚。虽然将结合具体实施方式描述所公开的实施方式,但是应当理解,并不意图限制所公开的实施方式。
在本发明中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域普通技术人员会理解,术语“部分制造的集成电路”可以指在其上的集成电路制造的许多阶段的任何阶段期间的硅晶片。在半导体器件工业中使用的晶片或衬底通常具有200mm、或300mm或450mm的直径。以下的详细说明假定本发明是在晶片上是实现的。然而,本发明不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用本发明的其它工件包括诸如印刷电路板、磁记录介质、磁记录传感器、反射镜、光学元件、微机械装置之类的各种制品。
随着半导体工业中的电路密度增大,晶片中的间隙或沟槽的宽度减小,从而增大了它们的深宽比,并且逐渐使得较难以填充间隙或沟槽而不留下空隙。当间隙未完全填充时形成空隙可能对完成的装置的操作产生不利影响。
采用沉积-蚀刻-沉积序列以补偿或消除间隙填充物中空隙的存在。在沉积-蚀刻-沉积序列中使用的常用沉积技术是ALD、CVD、等离子体增强CVD和HDP-CVD。沉积步骤之后可以是蚀刻步骤,蚀刻步骤例如HDP应用中的溅射蚀刻或ALD应用中的反应离子蚀刻(RIE)。蚀刻步骤可以是产生锥形正斜率轮廓的各向异性蚀刻步骤。结果,在间隙的开口附近可以从间隙内部除去更多的材料。
图1A-1C描绘了在沉积-蚀刻-沉积间隙填充工艺的各个阶段具有间隙的晶片的示例性横截面。图1A示出了包括间隙102的非平面晶片100的横截面。间隙宽度可以根据各种实施方式而变化,其可以在约5埃至约50μm的范围内。深度比宽度的深宽比可以大于约2:1,或大于约5:1,或大于约10:1,或甚至大于约30:1。间隙102可以使用任何适当的沉积技术(例如ALD、CVD、等离子体增强CVD和HDP-CVD)用薄膜104覆盖。在一些实施方案中,薄膜104可以与间隙102是保形或几乎保形的。如图1A所示,薄膜104包括在间隙102的顶部附近的凹入部分106。
在图1B中,对薄膜104施加各向异性蚀刻。可以通过各向异性蚀刻来选择性地去除薄膜104的凹入部分106,使得薄膜104的上部区域104a比下部区域104b薄。例如,可以通过对活性蚀刻物质施加质量传递限制和/或寿命限制来实现各向异性蚀刻。在一些实施方案中,在间隙102的顶部的选择性蚀刻还可以调节间隙102的侧壁角度,使得间隙102在顶部比在底部宽。这可以进一步降低随后沉积阶段的面包块(bread loafing)效应。
在图1C中,应用随后的沉积步骤以填充或几乎填充间隙102。在一些实施方案中,可以在多个沉积-蚀刻-沉积序列之后填充间隙102。间隙102可以没有空隙。可以使用任何适当的沉积技术(例如ALD、CVD、等离子体增强CVD、HDP-CVD等)来填充间隙102。
常用间隙填充工艺可以采用HDP-CVD系统。HDP-CVD系统形成的等离子体的密度可以比标准CCP-CVD系统形成的等离子体的密度大至少两个数量级。HDP-CVD系统通常是电感耦合等离子体(ICP)系统。实现沉积和蚀刻的具有ICP反应器的示例性HDP-CVD系统是可从加利福尼亚州Fremont的Lam Research Corporation获得的SpeedTM系统。一些HDP-CVD技术通过高密度的等离子体促进溅射,其可以与膜沉积同时发生。结果,沉积和蚀刻可以说是同时发生的,因为HDP沉积工艺的溅射部件减缓某些特征(例如拐角或凸起表面)的沉积,从而有助于改善间隙填充。然而,在这种HDP-CVD技术中的溅射可能导致材料在间隙的侧壁上的不期望的再沉积。一些HDP-CVD技术可以采用单独的沉积和蚀刻步骤。在蚀刻步骤期间,材料可以通过各向异性溅射蚀刻被非保形去除。拐角处的材料可以沿着间隙的侧壁在短距离上去除。然而,这种各向异性溅射蚀刻可导致可能阻碍间隙填充的再沉积尖端。即使HDP-CVD技术可以在单个室或装置中执行间隙填充工艺,但是从HDP-CVD技术产生的沉积膜不是保形的,并且可能实际上限制在单个室或装置中待执行的沉积-蚀刻-沉积序列的应用。
鉴于HDP-CVD技术在执行间隙填充时的局限性,可以使用ALD工艺来提供改进的保形性。与CVD工艺相比,ALD工艺使用表面介导的沉积反应来逐层沉积膜。在一些实施方案中,ALD工艺可以在CCP系统中执行,例如在图2所示的CCP系统中执行。CCP系统可能能够提供高频RF功率以产生等离子体。这种CCP系统的例子是可从加利福尼亚州Fremont的LamResearch Corporation获得的系统。
图2示出了用于在常规沉积-蚀刻-沉积间隙填充工艺中进行沉积工艺的示例性装置的示意图。如图2所示,装置200包括处理室224,处理室224包围装置200的其它部件并用于容纳等离子体。处理室224包括用于将处理气体输送到处理室224中的喷头214。高频射频(HFRF)发生器204可以连接到阻抗匹配网络206,阻抗匹配网络206连接到喷头214。在一些实施方案中,低频射频(LFRF)发生器202可以连接到阻抗匹配网络206以连接到喷头214。由阻抗匹配网络306提供的功率和频率足以从处理气体产生等离子体。在典型的工艺中,由HFRF发生器204产生的频率在约2-60MHz之间,例如13.56MHz或27MHz。由LFRF发生器202产生的频率在约250-400kHz之间,例如350kHz或400kHz。
处理室224还包括晶片支撑件或基座218。基座218可以支撑晶片216。基座218可以包括卡盘、叉和/或升降销,以在处理期间和之间保持晶片216。在一些实施方案中,卡盘可以是静电卡盘。
处理气体经由入口212导入。一个或多个源气体管线210可以连接到歧管208。处理气体可以是预混合的或不是预混合的。采用适当的阀门和质量流量控制机制来确保在沉积、蚀刻和其他等离子体处理操作期间输送正确的气体。处理气体可以经由出口222离开处理室224。真空泵226通常可以将处理气体抽出并在处理室224内保持适当的低压。
如图2所示,装置200是电容器式系统,其中喷头214是结合接地块220工作的电极。换句话说,装置200是CCP系统,并且可能能够提供高-射频功率到处理室224的顶部,即喷头214。处理室224的底部,即基座218和块220接地。
用于执行沉积-蚀刻-沉积序列的一个或多个装置,例如装置200,可以在多站处理工具中实现。图3示出了示例性多站处理工具的示意图。多站处理工具300可以包括入站加载锁302和出站加载锁304,其中一者或两者可以包括等离子体源。大气压下的机械手306被配置成将通过舱308装载的来自盒的晶片经由大气端口310移动到入口加载锁302中。晶片由机械手306放置在入站加载锁302中的基座312上,大气端口310被关闭,并且加载锁302被抽空。在入站加载锁302包括等离子体源的情况下,晶片可以在导入处理室314之前暴露于加载锁312中的等离子体处理。此外,晶片也可以在入站加载锁302中被加热,例如,以去除湿气和吸附的气体。接下来,打开通向处理室314的室传输端口316,并且另一个机械手(未示出)将晶片放置到反应器中在示出在反应器中第一站的基座上以进行处理。虽然图3所示的实施方式包括加载锁,但是应当理解,在一些实施方式中,可以使得晶片直接进入处理站。
所描绘的处理室314包括四个处理站,在图3所示的实施方式中编号为1至4。每个站可以具有加热的基座(对于站1示出为318)和气体线路入口。应当理解,在一些实施方式中,每个处理站可以具有不同的或多个用途。例如,在一些实施方式中,处理站可以在ALD和PECVD模式之间切换。如稍后根据本公开所讨论的,在一些实施方式中,处理站可以包括可在沉积模式和蚀刻模式之间切换的CCP反应器。虽然所描绘的处理室314包括四个站,但是应当理解,根据本公开的处理室314可以具有任何适当数量的站。例如,在一些实施方式中,处理室314可以具有五个或更多个站,而在其他实施方式中,处理室314可以具有三个或更少的站。
图3还描绘了用于在处理室314内传送晶片的晶片处理系统390。在一些实施方式中,晶片处理系统390可以在各个处理站之间和/或处理站和加载锁之间传送晶片。应当理解,可以采用任何适当的晶片处理系统。非限制性示例包括晶片转盘和晶片处理机械手。图3还描绘了用于控制多站处理工具300的处理状态和硬件状态的系统控制器350。系统控制器350可以包括一个或多个存储器设备356、一个或多个大容量存储设备354和一个或多个处理器352。处理器352可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器350控制多站处理工具300的所有活动。系统控制器350执行存储在大容量存储设备354、载入存储器设备356、并在处理器352上执行的系统控制软件358。系统控制软件358可以包括用于控制定时、气体的混合、室和/或站压强、室和/或站温度、吹扫条件和定时、晶片温度、RF功率水平、RF频率、晶片和/或基座位置、沉积和蚀刻模式切换以及由多站处理工具300执行的特定处理的其他参数。系统控制软件358可以以任何适当的方式配置。例如,各种处理工具组件子程序或控制对象可以写入根据所公开的方法执行各种处理工具处理所需要的处理工具组件的控制操作。系统控制软件358可以以任何适当的计算机可读编程语言进行编码。
在一些实施方式中,系统控制软件358可以包括用于控制各种参数的输入/输出控制(IOC)测序指令。例如,ALD工艺的每个阶段可以包括一个或多个用于由系统控制器350执行的指令。此外,从沉积模式切换到蚀刻模式可以包括一个或多个用于由系统控制器350执行的指令。用于设置用于ALD工艺的工艺条件的指令可以被包括在相应的ALD配方阶段中,并且用于设置各向异性蚀刻工艺的工艺条件的指令可以被包括在相应的蚀刻配方阶段中。在一些实施方案中,ALD和蚀刻配方阶段可以顺序排列。
在一些实施方式中,可以采用存储在与系统控制器350相关联的大容量存储设备354和/或存储设备356上的其他计算机软件和/或程序。用于此目的的程序或程序段的示例包括晶片定位程序、处理气体控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
晶片定位程序可以包括用于处理工具组件的程序代码,处理工具组件被用于将晶片加载到基座318上并且控制晶片和处理工具300的其它部件之间的间距。
处理气体控制程序可以包括用于如下操作的代码:控制气体组分和流量,并且可选地用于在沉积之前使气体流入一个或多个处理站,以便稳定处理站的压强。压强控制程序可以包括用于如下操作的代码:通过调节例如处理站的排放系统中的节流阀、流向处理站的气体等来控制处理站中的压强。
加热器控制程序可以包括用于如下操作的代码:控制流向用于加热晶片的加热单元的电流。替代地,加热器控制程序可以控制传热气体(例如氦)向晶片的输送。
等离子体控制程序可以包括用于如下操作的代码:设置施加到一个或多个处理站中的处理电极的RF功率电平。
在一些实施方式中,可以存在与系统控制器350相关联的用户界面。用户界面可以包括显示屏、装置和/或处理条件的图形软件显示器、以及用户输入设备(诸如指向设备、键盘、触摸屏、麦克风等)。
在一些实施方式中,由系统控制器350调整的参数可以涉及处理条件。非限制性示例包括处理气体组合物和流率、沉积和蚀刻模式、晶片温度、压强、等离子体条件(例如RF功率电平)等。这些参数可以以配方的形式提供给用户,所述配方可以使用用户界面输入。
用于监视处理的信号可以从各种处理工具传感器由系统控制器350的模拟和/或数字输入连接提供。用于控制处理的信号可以通过多站处理工具300的模拟和数字输出连接输出。可以被监控的处理工具传感器的非限制性示例包括质量流量控制器、压强传感器(例如压强计)、热电偶等。适当编程的反馈和控制算法可以使用来自这些传感器的数据以维持处理条件。
系统控制器350可以提供用于实现所公开的工艺的程序指令,所公开的工艺包括沉积-蚀刻-沉积间隙填充工艺。程序指令可以控制各种处理参数,例如直流功率电平、RF功率电平、RF偏置功率电平、压强、晶片温度等。指令可以根据本文描述的各种实施方式控制参数来操作沉积-蚀刻-沉积序列。
沉积和蚀刻模式
通常,CCP反应器中的沉积可以在某些硬件配置中发生,并且CCP反应器中的蚀刻可以在不同的硬件配置中发生。具体来说,CCP反应器中的ALD可以根据某些RF硬件配置进行优化,并且CCP反应器中的蚀刻可以根据不同的RF硬件配置进行优化。图4A和4B示出了用于在CCP反应器中进行沉积和蚀刻的不同RF硬件配置。在图4A中,将晶片支撑在用于沉积的接地电极上,并且上电极被供电。在图4B中,晶片被支撑在用于蚀刻的被供电的电极上,并且上电极接地。
图4A示出了包括用于进行沉积工艺的CCP反应器的示例性装置的示意图。装置400a包括能够执行PECVD或ALD的CCP反应器424。CCP反应器424包括用作上电极的喷头414和用作下电极的基座418。基座418位于喷头414下方并与其相对,并且可以支撑待处理的晶片416。在一些实施方案中,晶片416可以具有一个或多个特征,使得晶片416不是平坦的。例如,晶片416可以具有一个或多个间隙或多个间隙。在一些实施方式中,基座418可以升高或降低。处理气体通过气体入口412被引入到喷头414中,并且喷头414将处理气体分配到CCP反应器424中并朝向晶片416。RF功率源402可电连接到喷头414以在喷头414和晶片416之间的体积中产生等离子体430a。图4A的硬件配置中的等离子体430a可以针对沉积被优化。在一些实施方式中,可以通过控制室压强、气体浓度、气体混合物、RF源功率、RF源频率、占空比、脉冲频率等中的一种或多种来控制等离子体能量。
图4A示出了用于沉积的示例性RF硬件配置,其中RF功率源402可以是电连接到喷头414的HFRF发生器并且其中基座418接地。图4A的RF硬件配置通常不能提供足够的蚀刻速率,因为将会产生跨越晶片416的不足的电压降。然而,图4A的RF硬件配置能够进行快速频率调谐,这在ALD应用中是重要的。
快速频率调谐允许阻抗匹配在图4A的RF硬件配置中快速发生。阻抗匹配实践设计电负载的输入阻抗或其相应信号源的输出阻抗以便最大化功率传输并使来自负载的反射最小化。在等离子体处理环境中,使用阻抗匹配来使从等离子体放电返回进入传输线(例如,RF缆线)的反射功率最小化,并使从RF功率源402传送到等离子体放电中的功率最大化。此外,如果RF功率源402不匹配,则在源(RF功率源402)和负载(等离子体430a)之间的传输线上存在产生驻波的反射功率,这可能导致进一步的电力浪费,造成频率依赖性损耗。在一些实施方案中,阻抗匹配网络(未示出)可以耦合到RF功率源402。阻抗匹配网络可以改变从等离子体430a呈现的负载阻抗以匹配RF功率源402的源阻抗。通常,阻抗匹配网络可以配备有一个或多个电容器或电感器以调谐RF功率源402的阻抗以匹配等离子体阻抗。然而,使用电容器或电感器调谐阻抗可能是一个漫长的过程,这在需要较短等离子体导通时间的应用中是不合乎期望的。例如,为了在ALD窗口中运行,过程可能需要约0.5秒或更短的时间。因此,不是使用电容器或电感器来匹配阻抗,而是通过简单地切换RF功率源402的频率来发生阻抗匹配。举例而言,如果RF功率源402的阻抗需要匹配50欧姆的等离子体阻抗,则RF功率源402可以从在13.56MHz下操作迅速切换到在13.8MHz下操作。在其他RF硬件配置中,例如在图4B所示的配置中,这种快速频率调谐可能是不可行的。
图4B示出了包括用于进行蚀刻工艺的CCP反应器的示例性装置的示意图。装置400b包括能够执行等离子体蚀刻的CCP反应器424。类似于图4A中的装置400a,图4B中的装置400b包括喷头414、基座418、晶片416和气体入口412。RF功率源404、406可以电连接到基座418以施加跨过晶片416的电压降。RF功率源404、406可以包括LFRF发生器404和HFRF发生器406两者。等离子体430b可以在喷头414和晶片416之间的体积中产生。图4B的硬件配置中的等离子体430b可以针对蚀刻进行优化。
图4B示出了用于蚀刻的示例性RF硬件配置,其中LFRF发生器404和HFRF发生器406可以电连接到基座418,并且其中喷头414接地。在一些实施方案中,LFRF发生器404可以提供介于约2Hz和约1000kHz之间的低频RF信号,例如400kHz。在一些实施方案中,HFRF发生器406可以提供介于约1MHz和约100MHz之间的高频RF信号,例如13.56MHz。阻塞电容器432可以位于基座418与LFRF发生器404和HFRF发生器406两者之间。在混合高频和低频信号的情况下,阻塞电容器432可用作通向基座418的滤波器。图4B的RF配置不能快速频率调谐。这部分是由于RF路径中的多个组件将阻止频率调谐所需的快速响应。因此,ALD工艺通常将不能在图4B中基座418被偏置的状态下工作。然而,与图4A的RF配置不同,图4B的RF配置能够提供跨越晶片416的高电压降。
沉积-蚀刻-沉积集成装置
不是在对于一个工艺而不是另一个工艺而言是最佳的RF硬件配置中进行沉积和蚀刻,不是将晶片从一个装置持续传送到另一个装置以实现沉积-蚀刻-沉积序列,本公开提供对于沉积和蚀刻都是最佳的集成装置,并且将沉积-蚀刻-沉积序列集成在单个装置中。集成装置可以提供对于沉积和蚀刻模式两者最佳的RF硬件配置,其使用不同硬件组件的组合,硬件组件例如继电器开关、DO位开关、集成电路板(例如,分配器板)、RF发生器、同轴缆线、开关盒、射频滤波器、匹配单元等。
图5示出了根据一些实施方案的包括等离子体处理室的示例性集成装置的示意图,所述等离子体处理室被配置为在沉积模式和蚀刻模式之间切换。集成装置500包括等离子体处理室524,其中等离子体处理室524包括用于输送处理气体的喷头514和用于支撑晶片的基座518。等离子体处理室524可以是CCP反应器,其中喷头514包括上电极,并且基座518包括下电极。集成装置500可以包括用于向喷头514和基座518提供RF功率的多个功率源。在一些实施方案中,集成装置500可以包括LFRF发生器504和HFRF发生器502。LFRF发生器504和HFRF发生器502可以经由一个或多个开关564、568可操作地耦合到喷头514或基座518。如本文所使用的,彼此“可操作地耦合”的部件是指取决于控制设备(例如开关,系统控制器等)的操作彼此电连接或以其他方式耦合的部件。集成装置500可以包括可操作地耦合到LFRF发生器504和HFRF发生器502中的一者或两者的一个或多个开关564、568。开关564、568可以被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中开关564、568将至少HFRF发生器502耦合到喷头514,以及(2)用于执行蚀刻工艺的蚀刻模式,其中开关564、568将至少LFRF发生器504和HFRF发生器502耦合到基座518,并且使喷头514接地。当HFRF发生器502在沉积模式下耦合到喷头514时,HFRF发生器502可以向喷头514输送RF功率。当HFRF发生器502和LFRF发生器504在蚀刻模式下耦合到基座518时,HFRF发生器502和LFRF发生器504可以向基座518输送RF功率。
在图5中,开关564、568可以由滤波器代替,其中滤波器可以在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中滤波器选择性地耦合以传递高频信号到喷头514,以及(2)用于执行蚀刻工艺的蚀刻模式,其中滤波器选择性地将高频和低频信号一者或两者传递到基座518,同时使喷头514接地。
如图5所示,一个或多个开关564、568包括配置成在沉积模式下将LFRF发生器504和HFRF发生器502耦合到喷头514的第一站继电器开关564。在第一位置,第一站继电器开关564将LFRF发生器504和HFRF发生器502电连接到喷头514。这样,喷头514在沉积模式下供电。在第二位置,第一站继电器开关564电接地,使得喷头514电接地。如图5所示,一个或多个开关564、568包括被配置成在蚀刻模式下将HFRF发生器502和LFRF发生器504耦合到基座518的第二站继电器开关568。在第一位置,第二站继电器开关568将HFRF发生器502和LFRF发生器504电连接到基座518。这样,基座518在蚀刻模式下被偏置。在第二位置,第二站继电器开关568电接地,使得基座518电接地。
在一些实施方案中,集成装置500可以包括耦合到LFRF发生器504的低频匹配单元505(或低频阻抗匹配网络505)。在一些实施方式中,集成装置500可以包括耦合到HFRF发生器502的高频匹配单元503(或高频阻抗匹配网络503)。在一些实施方案中,集成装置500还可以包括一个或多个滤波器以选择性地传送高频或低频信号。集成装置500可以包括耦合到低频匹配单元505的低通滤波器554和耦合到高频匹配单元503的高通滤波器552。在一些实施方式中,低通滤波器554和高通滤波器552中的每个包括一个或多个电容器和电感器。低通滤波器554可以防止高频信号回到LFRF发生器504,并且高通滤波器552可以防止低频信号回到HFRF发生器502。在一些实施方案中,低通滤波器554和高通滤波器552中的每个可以用作多个等离子体处理室而不仅仅是等离子体处理室524的RF滤波器。在一些实施方案中,阻塞滤波器532可以插入在LFRF发生器504与基座518和喷头514两者之间来选择性地阻挡高频信号或低频信号。阻塞滤波器532可以类似于图4B的RF硬件配置中的阻塞电容器432起作用。
在图5中,集成装置500可以在沉积模式和蚀刻模式之间选择性切换。在根据某些实施方式的沉积模式中,第一站继电器开关564切换到第一位置,使得LFRF发生器504和HFRF发生器502电连接到喷头514,并且第二站继电器开关568被同时切换到第二位置,使得基座518接地。这种配置可以用于ALD。在根据替代实施方案的沉积模式中,第一站继电器开关564切换到第一位置,使得LFRF发生器504和HFRF发生器502电连接到喷头514,并且第二站继电器开关568被同时切换到第一位置,使得LFRF发生器504和HFRF发生器502电连接到基座518。在一些实施方案中,阻塞滤波器532可以防止低频信号到达喷头514。在根据某些实施方案的蚀刻模式中,第二站继电器开关568切换到第一位置,使得LFRF发生器504和HFRF发生器502电连接到基座518,并且第一站继电器开关564同时切换到第二位置,使得喷头514接地。在一些实施方案中,阻塞滤波器532可以防止低频或高频信号到达基座518。在一些实施方案中,低频和高频信号都可以用于在蚀刻模式下偏置基座518。
图5的RF硬件配置可以使用开关564、568优化沉积和蚀刻模式,使得可以在单个集成装置500中执行沉积-蚀刻-沉积序列。另外,图5的RF硬件配置可以利用多个集成电路板(例如,分离器板)以容纳HFRF发生器502和LFRF发生器504两者。分离器板使得信号不仅能分配到诸如等离子体处理室524之类的一个等离子体处理室,而且能分配给多个等离子处理室。每个分离器板可以包括具有多个通道的多个站。一个分离器板可以包括LFRF发生器504、低频匹配单元505和低通滤波器554,另一个分离器板可以包括HFRF发生器502、高频匹配单元503和高通滤波器552。在一些实施方案中,开关564、568可以是能够至少400万,至少2000万或至少2500万个周期的继电器开关。
图6示出了根据一些实施方案的用于在沉积模式和蚀刻模式之间切换的示例性方案的框图。类似于图5所示的RF硬件配置,集成装置600可以包括喷头614、基座618、HFRF发生器602、LFRF发生器604以及多个集成电路板623、633(例如,分离器板)。HFRF发生器602和LFRF发生器604可以可操作地耦合到喷头614和基座618。集成装置600包括可操作地耦合到HFRF发生器602的开关625。开关625可以被配置成在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中开关625将HFRF发生器602耦合到喷头614,以及(2)用于执行蚀刻工艺的蚀刻模式,其中开关625将至少LFRF发生器604和HFRF发生器602耦合至基座518,并且使喷头614接地。
在图6中,集成装置600可以包括与沉积模式相关联的第一集成电路板623和第一高频匹配单元603。在沉积模式下,HFRF发生器602经由开关625与喷头614电连接,使得HFRF发生器602、第一高频匹配单元603和第一集成电路板623与喷头614电连接。HFRF发生器602和第一高频匹配单元603可以在沉积模式下向喷头614输送功率。在一些实施方案中,基座618在沉积模式下接地。
在图6中,集成装置600可以包括第二集成电路板633和低频匹配单元605以及与蚀刻模式相关联的第二高频匹配单元613。在蚀刻模式下,LFRF发生器604电连接到基座618,并且HFRF发生器602经由开关625与基座618电连接。这样,HFRF发生器602、第二高频匹配单元613、LFRF发生器604、低频匹配单元605和第二集成电路板633与基座618电连接。HFRF发生器602和第二高频匹配单元613以及LFRF发生器604和低频匹配单元605在蚀刻模式下向基座618输送功率。在一些实施方案中,喷头614在蚀刻模式下接地。
在一些实施方案中,开关625是HFRF开关盒,其被配置为在以下之间切换:在沉积模式下将功率从HFRF发生器602输送到喷头614,以及在蚀刻模式下将功率从HFRF发生器602输送到基座618。在沉积模式下,仅HFRF发生器602通过第一集成电路板623耦合到喷头614。在蚀刻模式下,HFRF发生器602和LFRF发生器604都通过第二集成电路板633耦合到基座618。在一些实施方案中,第一集成电路板623和第二集成电路板633经由同步的继电器控制635通信地耦合,并且同步的继电器控制635通信地耦合到开关625。同步的继电器控制635被配置为同步沉积和蚀刻模式之间的切换。例如,如果第一集成电路板623在沉积模式下将功率从HFRF发生器602输送到喷头614,则同步的继电器控制635可以同时与第二集成电路板633通信,以不输送功率至基座618。或者,如果第二集成电路板633在蚀刻模式下将功率从HFRF发生器602和LFRF发生器604输送至基座618,则同步的继电器控制635可以同时与第一集成电路板623通信,以不输送功率至喷头614。
尽管图5和图6中的RF硬件配置利用HFRF发生器、LFRF发生器和单独的集成电路板以用于为喷头和/或基座供电,但是一些RF硬件配置可以将HFRF发生器和LFRF发生器作为单个电源结合在一起,并且利用单个集成电路板为喷头和/或基座供电。在一些实施方案中,HFRF发生器和LFRF发生器可以是单个集成电路板的一部分。单个集成电路板可以在一种模式下将高频和/或低频信号传送到喷头,并在另一种模式下将高频和/或低频信号传送到基座。单个集成电路板可以包括具有多个通道的多个站。
图7示出了根据一些实施方案的用于在沉积模式和蚀刻模式之间切换的替代示例性方案的框图。集成装置700可以包括集成电路板710、喷头714和基座718。喷头714和基座718可以是用于在晶片上执行沉积-蚀刻-沉积序列的等离子体处理室(未示出)的一部分。等离子体处理室可以是CCP反应器,其中喷头714包括上电极,并且基座718包括下电极。集成电路板710可以包括一个或多个HF/LF RF发生器704、708和一个或多个开关764、768,其中一个或多个开关764、768被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中一个或多个开关764、768在沉积模式下将HF/LF发生器704耦合到喷头714,以及(2)用于执行蚀刻工艺的蚀刻模式,其中一个或多个开关764、768在蚀刻模式下将HF/LF发生器708耦合到基座718。在一些实施方案中,图7所示的HF/LF RF发生器704、708可以是单个HF/LF RF发生器。单个HF/LF RF发生器能够输送高频和低频信号两者。可以使用相同的HF/LFRF发生器为喷头714或基座718供电。
在一些实施方式中,集成电路板710可以配备有多个通道以为多个站供电。在站中的一个处可以是第一站继电器开关764,并且在其它站中的一个处可以是第二站继电器开关768。第一站继电器开关764被配置为在沉积模式下将第一HF/LF RF发生器704耦合到喷头714。当第一站继电器开关764处于第一位置时,集成装置700处于沉积模式,并且第一HF/LF RF发生器704电连接到喷头714以为喷头714供电。来自HF/LF RF发生器704的信号通过第一电感器774传到喷头714。当第一站继电器开关764处于第二位置时,喷头714接地。在一些实施方案中,当喷头714接地以补偿返回路径中的电感时,提供第一电容器734。第二站继电器开关768被配置为将第二HF/LF RF发生器708在蚀刻模式下耦合到基座718。当第二站继电器开关768处于第一位置时,集成装置700处于蚀刻模式,并且第二HF/LF RF发生器708电连接到基座718以偏置基座718。来自第二站继电器开关768的信号通过第二电感器778传送到基座718。当第二站继电器开关768处于第二位置时,基座718接地。在一些实施方案中,当基座718接地以补偿返回路径中的电感时,提供第二电容器738。
在如图7所示的一些实施方式中,集成电路板710包括诸如数字输出(DO)位开关之类的开关735,其被配置为同步模式之间的切换。开关735可以耦合到第一继电器744和第二继电器748。在一些实施方案中,第一继电器744和第二继电器748中的每个可以是高压真空继电器。当开关735处于沉积模式时,第一继电器744可处于断开位置,第二继电器748可处于闭合位置。当开关处于蚀刻模式时,第一继电器744可处于闭合位置,第二继电器748可处于断开位置。当第一继电器744断开并且第二继电器748闭合时,第一站继电器开关764处于第一位置,第二站继电器开关768处于第二位置,使得喷头714被供电并且基座718接地。当第一继电器744闭合并且第二继电器748断开时,第一站继电器开关764处于第二位置,第二站继电器开关768处于第一位置,使得基座718被供电,并且喷头714接地。图7中的这种布置允许HF/LF RF发生器704、708在沉积模式下为喷头714供电并且基座718接地,或者允许HF/LF RF发生器704、708在蚀刻模式下为基座718供电并将喷头714接地。
图7中的喷头714可以通过位于喷头714和第一电感器774之间的同轴缆线(未示出)接地。图7中的基座718也可以通过位于基座718和第二电感器778之间的同轴缆线接地。然而,通过电感器和同轴缆线的接地产生较长的电接地返回路径。这可以在喷头714或基座718上产生较多的电压,从而可以有效地降低电极之间的电压。如果基座718被偏置而电极之间的电压改变,则这可能导致蚀刻模式的效果较差。
不是通过电感器和同轴缆线接地,一些RF硬件配置可以将喷头和基座在等离子体处理室原位地接地。图8示出了根据一些实施方案的包括被配置为在沉积模式和蚀刻模式之间切换的等离子体处理室的示例性集成装置的示意图。集成装置800包括集成电路板810和等离子体处理室824,其中等离子体处理室824包括喷头814和基座818。等离子体处理室824可以是CCP反应器,其中喷头814包括上电极,并且基座818包括下电极。CCP反应器可以被配置为在晶片上执行沉积-蚀刻-沉积序列。集成电路板810可以包括一个或多个HF/LFRF发生器804、808和一个或多个开关864、868。集成装置800还可以包括附加开关884、888。开关864、868、884、888被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中在沉积模式下开关864、868、884、888将HF/LF RF发生器804耦合到喷头814并将基座818接地,以及(2)用于执行蚀刻工艺的蚀刻模式,其中在蚀刻模式下开关864、868、884、888将HF/LF RF发生器808耦合到基座818并将喷头814接地。在一些实施方案中,图8所示的HF/LF RF发生器804、808可以是单个HF/LF RF发生器。单个HF/LF RF发生器能够输送高频和低频信号两者。可以使用相同的HF/LF RF发生器为喷头814或基座818供电。
在一些实施方案中,集成电路板810可以配备有多个通道来为多个站供电。在站的中一个处可以是第一站继电器开关864,并且在其它站中的一个处可以是第二站继电器开关868。第一站继电器开关864被配置为在沉积模式下将第一HF/LF RF发生器804耦合到喷头814。当第一站继电器开关864处于第一位置时,集成装置800处于沉积模式,并且第一HF/LF RF发生器804电连接到喷头814以为喷头814供电。来自HF/LF RF发生器804的信号通过第一电感器874传送到喷头814。当第一站继电器开关864处于第二位置时,喷头814接地。然而,不是通过第一电感器874和第一同轴缆线854接地,喷头814在等离子体处理室824原位处接地。喷头接地继电器开关884被设置在喷头814原位处,并且耦合到与第一站继电器开关864相关联的第一站。这降低了返回路径中的电感,并且可以消除对补偿电容器的需要。第二站继电器开关868被配置为在蚀刻模式下将第二HF/LF RF发生器808耦合到基座818。当第二站继电器开关868处于第一位置时,集成装置800处于蚀刻模式,并且第二HF/LF RF发生器808电连接到基座818以偏置基座818。来自第二站继电器开关868的信号通过第二电感器878传送到基座818。当第二站继电器开关868处于第二位置时,基座818接地。然而,不是通过第二电感器878和第二同轴缆线858接地,基座818而是在等离子体处理室824原位处接地。基座接地继电器开关888被设置在基座818原位处,并被耦合到与第二站继电器开关868相关联的第二站。这降低了返回路径中的电感,并且可以消除对补偿电容器的需要。在一些实施方案中,电极的原位接地可以改善蚀刻模式下的蚀刻工艺。然而,图8中的每个站配备有两个继电器开关,而不是一个继电器开关,例如在第一站处具有继电器开关864、884,在第二站具有继电器开关868、888。
集成电路板810(例如,分离器板)可以包括多个站,其中每个站能够切换电源的开/关。集成电路板810可以包括在其中一个站处的DO位开关(未示出),其中DO位开关被配置为同步模式之间的切换。因此,所有四个继电器开关864、868、884、888可以被同步。例如,如果DO位开关设置在与第一站继电器开关864相关联的第一站处,并且如果第一站继电器开关864被切换到第一位置(例如,接通),则喷头814被供电并且基座818接地。具体地,第二站继电器开关868切换到第二位置(例如,关断),并且基座接地继电器开关888使基座818原位地接地。如果第一站继电器开关864被切换到第二位置(例如,关断),则基座818被供电并且喷头814接地。具体地,第二站继电器开关868被切换到第一位置(例如,接通),并且喷头接地继电器开关884使喷头814原位接地。图8中的这种布置允许HF/LF RF发生器804、808在沉积模式下为喷头814供电并使基座818接地,或允许HF/LF RF发生器804、808在蚀刻模式下对基座818供电并使喷头814接地。
在图5-8中描述的集成装置中的前述RF硬件配置可以提供比常规工具执行沉积-蚀刻-沉积序列更简单和更灵活的工具解决方案。图9A示出了用于进行沉积-蚀刻-沉积间隙填充工艺的示例性常规多站处理工具的示意图。多站处理工具900a包括机械手906,机械手906被配置为将通过舱908装载的来自盒的晶片移动到装载锁中并最终进入四个处理室911、912、913、914中的一个,但应理解的是,可以存在更少或更多的处理室。多站处理工具900a可以包括与图3中的多站处理工具300类似的特征。在多站处理工具900a中,处理室911、912、913中的三个可以被配置为执行沉积工艺(例如ALD),并且处理室914中的一个可以被配置为执行蚀刻工艺。
图9B示出了包括用于进行沉积-蚀刻-沉积间隙填充工艺的公开的集成装置的示例性多站处理工具的示意图。多站处理工具900b包括机械手906,机械手906被配置将通过舱908装载的来自盒的晶片移动到装载锁中,并且最终进入三个处理室921a、921b、921c中的一个,但应理解,可以存在更少或更多的处理室。多站处理工具900b可以包括与图3中的多站处理工具300相似的特征。在多站处理工具900b中,处理室921a、921b、921c中的每一个可被配置成执行沉积和蚀刻工艺。例如,处理室921a、921b、921c中的每一个可以结合具有图5-8所示的某些RF硬件配置的集成装置。多站处理工具900b可以保证针对沉积工艺的快速频率调谐,针对蚀刻工艺的高蚀刻速率,针对蚀刻工艺的锥形刻蚀轮廓以及通过对相同室中的间隙填充执行多个沉积-蚀刻-沉积序列来提高灵活性。
多站处理工具900b可以包括诸如图3中的系统控制器350之类的系统控制器(未示出)。系统控制器可以被配置为提供用于执行操作的指令,所述操作包括在沉积和蚀刻模式之间切换的操作。系统控制器可以是系统的一部分,该系统可以是集成装置的一部分。系统控制器可以提供用于使用图5-8中的任何上述RF硬件配置在沉积和蚀刻模式下操作的程序指令。系统控制器可以包括用于控制RF功率电平、RF频率、占空比、晶片温度、室和/或站温度、室和/或站压强、晶片和/或基座位置、定时、气体的混合、气流速率、吹扫条件和定时、沉积和蚀刻模式切换等的指令。
从广义上讲,系统控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括以固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器、或微控制器。程序指令可以是以各种不同的设置(或程序文件)形式输送到控制器或系统的指令,不同的设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或向系统进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、表面、电路和/或裸芯片的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,系统控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些参数和/或设置然后从远程计算机传输到系统。在一些示例中,系统控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室内的一个或多个集成电路,它们结合以控制室内的工艺。
根据工具将要执行的一个或多个工艺步骤,系统控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器往来于半导体制造工厂中的工具位置和/或装载端口搬运的材料搬运中使用的工具通信。系统控制器可以配置有执行以下描述的操作中的一个或多个的指令。
工艺条件
图10示出了说明用于在晶片上执行沉积-蚀刻-沉积间隙填充工艺的示例性工艺流程的流程图。工艺1000中的操作可以以不同的顺序和/或与不同的、较少的或额外的操作一起执行。如上所述的系统控制器可以配置有执行以下操作中的一个或多个的指令。
利用图5-9B中提供的用于沉积和蚀刻工艺的集成工具解决方案,可以自始至终在相同的等离子体处理室中进行沉积-蚀刻-沉积间隙填充工艺。此外,用于进行蚀刻工艺的工艺条件可以与用于执行沉积工艺的工艺条件兼容。在一些实施方案中,可以将诸如晶片温度、室压强、频率和RF功率之类的工艺条件调整为与沉积工艺兼容,但仍然在沉积-蚀刻-沉积序列中提供有效的各向异性蚀刻。
工艺1000可以在框1005开始,在框1005,将晶片设置在等离子体处理室中,其中晶片具有一个或多个间隙,每个间隙具有大于约5:1的深度比宽度的深宽比。所述晶片可以是用于集成电路生产中使用的半导体晶片。晶片可以具有一个或多个特征,使得晶片是非平坦的。在一些实施方案中,一个或多个特征可以包括一个或多个间隙、沟槽或凹陷。可以在具有一个或多个间隙的晶片上执行间隙填充工艺。晶片中的间隙宽度可以根据各种实施方式而变化,其可以在约5埃至约50μm,或约100埃至约1μm的范围内。深度比宽度的深宽比的实例可以大于约2:1,或大于约5:1,或大于约10:1,或大于约30:1,或大于约50:1或大于约100:1。此外,等离子体处理室可以是包括用于输送处理气体的喷头和用于支撑晶片的基座的CCP反应器,其中喷头包括上电极,并且基座包括下电极。
在工艺1000的框1010处,可以在等离子体处理室中经由ALD在一个或多个间隙中沉积第一电介质层。在一些实施方案中,第一电介质层可以是电介质氧化物,例如二氧化硅(SiO2)。利用ALD,可以在非平坦的晶片上沉积第一电介质层的保形膜。在通过ALD沉积第一电介质层期间,等离子体处理室可以处于沉积模式,其中至少喷头由RF发生器供电。在一些实施方案中,在沉积第一电介质层期间,基座可以接地。在一些实施方案中,RF发生器能够进行快速频率调谐。
在工艺1000的框1015处,在等离子体处理室中用斜率控制对第一电介质层进行各向异性蚀刻。各向异性蚀刻在第一电介质层的沉积膜中产生锥形正斜率。相比于在间隙内和间隙底部附近,各向异性蚀刻可以在间隙顶部附近选择性地去除更多电介质材料。为了本说明书的目的,“间隙顶部附近”或“开口附近”被定义为间隙内(即,沿着间隙的侧壁)的对应于从场区域测得的间隙深度的约0-10%处的近似位置或区域。在某些实施方式中,开口附近或间隙顶部附近的区域对应于间隙的开口处或顶部的区域。此外,“间隙内和间隙底部附近”或“间隙内部”定义为间隙内的对应于距离间隙顶部上的场区域约20-60%之间的间隙深度处的近似位置或区域。通常,当某些参数(例如,厚度)的值被指定为“开口附近”或“间隙内部”时,这些值表示在这些位置/区域内测得的测量值或多个测量值的平均值。用斜率控制进行各向异性蚀刻,以便在间隙的顶部附近而不是在间隙内和间隙底部附近形成斜率轮廓。在一些实施方案中,用于各向异性蚀刻的蚀刻剂可以包括氟基蚀刻剂,例如三氟化氮(NF3)。
在框1015处执行的各向异性蚀刻步骤可以与在框1010执行的沉积步骤发生在相同的等离子体处理室中。在一些实施方式中,框1015处的等离子体处理室可以处于蚀刻模式,其中基座由RF发生器供电,喷头接地。在一些实施方案中,可以使用一个或多个开关来将RF发生器从在沉积模式下为喷头供电切换为在蚀刻模式下为基座供电。例如,工艺1000还可以包括在对第一电介质层进行各向异性蚀刻之前切换成将低频功率和高频功率两者施加到等离子体处理室中的基座上,并且将等离子体处理室中的喷头接地。
框1010处的沉积模式的工艺条件可以与框1015处的蚀刻模式的工艺条件兼容。在一些实施方案中,蚀刻和沉积工艺期间的晶片温度可以介于50℃和650℃之间,或大于100℃,或大于200℃,或大于300℃,或甚至大于400℃。这种晶片温度范围可以应用于框1010、1015和1020。在一些实施方案中,用于蚀刻和沉积工艺的室压强可以介于0.1托和10托之间,或介于0.3托和1托之间。在框1010、1015和1020处可以应用这样的室压强。在一些实施方案中,LFRF发生器可以提供约400kHZ的RF频率,并且HFRF发生器可以提供约13.56MHz的RF频率。这种频率可以在框1010、1015和1020处应用。所产生的低频功率可以介于约1500W与约6000W之间,所产生的高频功率可以介于约0W与约5000W之间。这种RF功率可以在框1010、1015和1020应用。典型的蚀刻工艺不能在这样高的晶片温度下操作,并且不能在这样的低频率下操作以产生大的低频功率。在一些实施方案中,NF3的浓度可以在约1.0-2.5%之间以进行蚀刻。典型的蚀刻工艺也可以不使用这种少量的NF3进行蚀刻。表I提供了关于流率、压强、高频功率、低频功率和NF3浓度方面的成组的晶片温度范围、气体混合物、气体浓度。
表一
在工艺1000的框1020处,可以在等离子体处理室中经由ALD在第一电介质层上的一个或多个间隙中沉积第二电介质层。在一些实施方案中,第二电介质层可以是诸如SiO2之类的电介质氧化物。利用ALD,可以在非平坦的晶片上沉积第二电介质层的保形膜。在一些实施方式中,第二电介质层可以填充和封闭一个或多个间隙。在沉积第二电介质层期间,等离子体处理室可以处于沉积模式,其中至少喷头由RF发生器供电。在一些实施方案中,在沉积第二电介质层期间,基座可以接地。第二电介质层可以在与利用斜率控制的各向异性蚀刻的等离子体处理室相同的等离子体处理室中沉积。在一些实施方案中,工艺1000还可以包括在沉积第二电介质层之前切换成将高频功率施加到等离子体处理室中的喷头并且将等离子体处理室中的基座接地。
光刻图案化
本文所述的装置/方法可以结合光刻图案化工具或工艺使用,例如用于制造或制备半导体器件、显示器、LED、光伏面板等。典型地,但不是必然地,此类工具/工艺将与普通的制造设施一起使用或执行。膜的光刻图案化通常包括以下步骤中的一些或全部,每个步骤能够使用多种可行的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件上,即衬底上;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便使用诸如湿式台之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的蚀刻工具将抗蚀剂图案转移到下伏膜或工件中;以及(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
其他实施方式
尽管在本文中示出和描述了本发明的说明性实施方式和应用,但是许多变化和修改是可能的,其保持在本发明的构思、范围和精神内,并且这些变化在本领域普通技术人员审阅本申请之后将变得清楚。因此,本实施方式被认为是说明性的而不是限制性的,并且本发明不限于本文给出的细节,而是可以在所附权利要求的范围和等同内容中进行修改。
Claims (15)
1.一种用于执行沉积和蚀刻工艺的集成装置,所述装置包括:
处理室,其中所述处理室包括喷头和基座;
一个或多个射频(RF)发生器;以及
一个或多个滤波器,其能操作地耦合到所述一个或多个射频发生器,其中,所述集成装置被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中在所述沉积模式下所述一个或多个滤波器选择性地阻止来自所述喷头的低频信号,并选择性地将高频信号传递给所述喷头,和(2)用于执行蚀刻工艺的蚀刻模式,其中在所述蚀刻模式下所述一个或多个滤波器将高频信号和低频信号传递到所述基座并将所述喷头接地。
2.根据权利要求1所述的装置,其中所述处理室是电容耦合等离子体(CCP)反应器,并且其中所述喷头包括上电极,所述基座包括下电极。
3.根据权利要求1所述的装置,还包括:
一个或多个开关,所述一个或多个开关能操作地耦合到所述一个或多个射频发生器。
4.根据权利要求3所述的装置,其中在所述沉积模式下的所述一个或多个开关将所述一个或多个射频发生器耦合至所述喷头。
5.根据权利要求3所述的装置,其中,在所述蚀刻模式下的所述一个或多个开关将所述一个或多个射频发生器耦合至所述基座并将所述喷头接地。
6.根据权利要求1所述的装置,其中所述一个或多个射频发生器包括高频射频发生器和低频射频发生器。
7.根据权利要求6所述的装置,其中所述一个或多个开关包括:
第一站继电器开关,其被配置为在沉积模式下将所述低频射频发生器和所述高频射频发生器电连接到所述喷头;以及
第二站继电器开关,其被配置为在所述蚀刻模式下将所述低频射频发生器和所述高频射频发生器电连接到所述基座。
8.一种用于执行沉积和蚀刻工艺的集成装置,所述装置包括:
处理室,其中所述处理室包括喷头和基座;
一个或多个射频发生器;以及
系统控制器,其可操作地耦合到所述一个或多个射频发生器,其中所述系统控制器被配置有用于执行以下操作的指令:
在沉积模式下将所述一个或多个射频发生器耦合到至少所述喷头;和
在蚀刻模式下将所述一个或多个射频发生器耦合到至少所述基座。
9.根据权利要求8所述的装置,其中,所述系统控制器还被配置有用于执行以下操作的指令:
在所述处理室中的所述基座上提供晶片,其中所述晶片包括第一介电层;
当所述一个或多个射频发生器在所述蚀刻模式下耦合到至少所述基座时,在所述处理室中各向异性地蚀刻所述第一介电层;和
当所述一个或多个射频发生器在所述沉积模式下耦合到至少所述喷头时,在所述处理室中通过ALD将所述第二介电层沉积在所述第一介电层上。
10.根据权利要求8所述的装置,其中所述处理室是电容耦合等离子体(CCP)反应器,并且其中所述喷头包括上电极,所述基座包括下电极。
11.根据权利要求8所述的设备,还包括:
一个或多个滤波器,其能操作地耦合到所述一个或多个射频发生器,其中,所述集成装置被配置为在以下模式之间切换:(1)用于执行沉积工艺的沉积模式,其中在所述沉积模式下所述一个或多个滤波器选择性地阻止来自所述喷头的低频信号,并选择性地将高频信号传递给所述喷头,和(2)用于执行蚀刻工艺的蚀刻模式,其中在所述蚀刻模式下所述一个或多个滤波器将高频信号和低频信号传递到所述基座并将所述喷头接地。
12.根据权利要求8所述的装置,其中所述一个或多个射频发生器包括高频射频发生器和低频射频发生器。
13.根据权利要求12所述的装置,其中,所述系统控制器被配置为具有在沉积模式下将所述一个或多个射频发生器耦合到至少所述喷头的指令,并且被配置为具有将至少一个所述高频射频发生器耦合至所述喷头的指令。并且其中所述系统控制器被配置为具有在蚀刻模式下将所述一个或多个射频发生器耦合到至少所述基座的指令,并且被配置为具有将所述高频射频发生器和所述低频射频发生器耦合到所述基座并使所述喷头接地的指令。
14.根据权利要求8所述的装置,其中,所述晶片具有一个或多个间隙,每个间隙的深宽比大于5:1,其中,所述晶片在所述一个或多个间隙中包括所述第一介电层。
15.根据权利要求8所述的装置,还包括:
一个或多个开关,其能操作地耦合到所述一个或多个射频发生器。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202010046740.4A CN111243931B (zh) | 2016-06-30 | 2017-06-30 | 用于在间隙填充中沉积和蚀刻的装置和方法 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/199,608 | 2016-06-30 | ||
US15/199,608 US9773643B1 (en) | 2016-06-30 | 2016-06-30 | Apparatus and method for deposition and etch in gap fill |
CN201710522311.8A CN107564790B (zh) | 2016-06-30 | 2017-06-30 | 用于在间隙填充中沉积和蚀刻的装置和方法 |
CN202010046740.4A CN111243931B (zh) | 2016-06-30 | 2017-06-30 | 用于在间隙填充中沉积和蚀刻的装置和方法 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710522311.8A Division CN107564790B (zh) | 2016-06-30 | 2017-06-30 | 用于在间隙填充中沉积和蚀刻的装置和方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111243931A true CN111243931A (zh) | 2020-06-05 |
CN111243931B CN111243931B (zh) | 2023-04-11 |
Family
ID=59886844
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202010046740.4A Active CN111243931B (zh) | 2016-06-30 | 2017-06-30 | 用于在间隙填充中沉积和蚀刻的装置和方法 |
CN201710522311.8A Active CN107564790B (zh) | 2016-06-30 | 2017-06-30 | 用于在间隙填充中沉积和蚀刻的装置和方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710522311.8A Active CN107564790B (zh) | 2016-06-30 | 2017-06-30 | 用于在间隙填充中沉积和蚀刻的装置和方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US9773643B1 (zh) |
JP (3) | JP7027050B2 (zh) |
KR (2) | KR102399577B1 (zh) |
CN (2) | CN111243931B (zh) |
TW (2) | TWI811757B (zh) |
Families Citing this family (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10256075B2 (en) * | 2016-01-22 | 2019-04-09 | Applied Materials, Inc. | Gas splitting by time average injection into different zones by fast gas valves |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10199270B2 (en) * | 2017-05-25 | 2019-02-05 | Globalfoundries Inc. | Multi-directional self-aligned multiple patterning |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US11075079B2 (en) * | 2017-11-21 | 2021-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directional deposition for semiconductor fabrication |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
CN110534392B (zh) * | 2018-05-25 | 2022-04-22 | 北京北方华创微电子装备有限公司 | 射频阻抗匹配的方法及装置、半导体处理设备 |
US11293098B2 (en) * | 2018-07-11 | 2022-04-05 | Lam Research Corporation | Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching |
US10991550B2 (en) * | 2018-09-04 | 2021-04-27 | Lam Research Corporation | Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system |
WO2020061417A1 (en) * | 2018-09-21 | 2020-03-26 | Applied Materials, Inc. | Gap-fill with aluminum-containing films |
US10720305B2 (en) * | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
US11515123B2 (en) * | 2018-12-21 | 2022-11-29 | Advanced Energy Industries, Inc. | Apparatus and system for modulated plasma systems |
US11804362B2 (en) * | 2018-12-21 | 2023-10-31 | Advanced Energy Industries, Inc. | Frequency tuning for modulated plasma systems |
TW202104656A (zh) * | 2019-03-28 | 2021-02-01 | 美商蘭姆研究公司 | 噴淋頭護罩 |
CN111554590B (zh) * | 2020-04-16 | 2021-04-13 | 上海陛通半导体能源科技股份有限公司 | 半导体填孔真空系统及填孔方法 |
JP7433154B2 (ja) | 2020-07-16 | 2024-02-19 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
TWI742902B (zh) * | 2020-10-30 | 2021-10-11 | 台灣奈米碳素股份有限公司 | 利用電漿輔助原子層沉積技術製造半導體裝置的方法 |
TWI753633B (zh) * | 2020-10-30 | 2022-01-21 | 台灣奈米碳素股份有限公司 | 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法 |
Citations (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030211757A1 (en) * | 2002-05-07 | 2003-11-13 | Applied Materials, Inc. | Substrate support with extended radio frequency electrode upper surface |
KR20040096380A (ko) * | 2003-05-09 | 2004-11-16 | 주식회사 아이피에스 | 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치 |
CN1552097A (zh) * | 2001-05-04 | 2004-12-01 | ���������ƴ���ʽ���� | 具有连续沉积和蚀刻的电离pvd |
CN101044598A (zh) * | 2003-06-04 | 2007-09-26 | 应用材料公司 | Hdp-cvd多步间隙填充处理 |
CN101064272A (zh) * | 2006-04-28 | 2007-10-31 | 应用材料股份有限公司 | 采用聚合蚀刻气体的等离子体蚀刻工艺 |
US20080308526A1 (en) * | 2007-06-18 | 2008-12-18 | Lam Research Corporation | Minimization of mask undercut on deep silicon etch |
US20100126667A1 (en) * | 2008-11-26 | 2010-05-27 | Advanced Micro-Fabrication Equipment, Inc. Asia | Capacitive cvd reactor and methods for plasma cvd process |
CN101743341A (zh) * | 2007-07-12 | 2010-06-16 | 应用材料股份有限公司 | 用于等离子体增强的化学气相沉积和斜边蚀刻的系统 |
TW201411776A (zh) * | 2012-05-18 | 2014-03-16 | Novellus Systems Inc | 碳沉積-蝕刻-灰化間隙塡充處理 |
KR20140060253A (ko) * | 2012-11-08 | 2014-05-19 | 노벨러스 시스템즈, 인코포레이티드 | 갭충진을 위한 컨포멀 막 증착 |
CN105391427A (zh) * | 2014-08-22 | 2016-03-09 | 朗姆研究公司 | 状态期间的子脉冲 |
CN105719954A (zh) * | 2014-12-04 | 2016-06-29 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
Family Cites Families (457)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS4843472A (zh) | 1971-10-04 | 1973-06-23 | ||
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
CA1327338C (en) | 1987-02-02 | 1994-03-01 | Chorng-Ping Chang | Process for producing devices containing silicon nitride films |
JPH0293071A (ja) | 1988-09-29 | 1990-04-03 | Toshiba Corp | 薄膜の形成方法 |
JPH0311635A (ja) | 1989-06-08 | 1991-01-18 | Sekiyu Sangyo Katsuseika Center | 化合物半導体装置の製造方法 |
US5094984A (en) | 1990-10-12 | 1992-03-10 | Hewlett-Packard Company | Suppression of water vapor absorption in glass encapsulation |
US5230929A (en) | 1992-07-20 | 1993-07-27 | Dow Corning Corporation | Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes |
TW201848B (zh) | 1991-11-08 | 1993-03-11 | Advanced Micro Devices Inc | |
DE4136987A1 (de) | 1991-11-11 | 1993-05-13 | Leybold Ag | Verfahren zur oberflaechenpassivierung von sensoren |
JPH05226279A (ja) | 1992-02-10 | 1993-09-03 | Toshiba Corp | 半導体装置の製造方法 |
US5223443A (en) | 1992-02-19 | 1993-06-29 | Integrated Device Technology, Inc. | Method for determining wafer cleanliness |
US5286297A (en) * | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
JPH06177120A (ja) | 1992-10-27 | 1994-06-24 | Sony Corp | 層間絶縁膜の形成方法 |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
JPH09102494A (ja) | 1995-10-09 | 1997-04-15 | Toshiba Corp | 半導体装置の保護膜およびその形成方法 |
JPH09167755A (ja) * | 1995-12-15 | 1997-06-24 | Nec Corp | プラズマ酸化膜処理装置 |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5593914A (en) | 1996-03-19 | 1997-01-14 | Radiant Technologies, Inc. | Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6399221B1 (en) | 1996-06-25 | 2002-06-04 | Northwestern University | Organic light-emitting diodes and methods for assembly and emission control |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JPH1098032A (ja) | 1996-09-20 | 1998-04-14 | Hitachi Ltd | 薄膜形成方法及び薄膜形成装置 |
US5994209A (en) | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
US6809421B1 (en) | 1996-12-02 | 2004-10-26 | Kabushiki Kaisha Toshiba | Multichip semiconductor device, chip therefor and method of formation thereof |
US6069058A (en) | 1997-05-14 | 2000-05-30 | United Semiconductor Corp. | Shallow trench isolation for semiconductor devices |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US6100202A (en) | 1997-12-08 | 2000-08-08 | Taiwan Semiconductor Manufacturing Company | Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer |
US6509601B1 (en) | 1998-07-31 | 2003-01-21 | Samsung Electronics Co., Ltd. | Semiconductor memory device having capacitor protection layer and method for manufacturing the same |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6218293B1 (en) | 1998-11-13 | 2001-04-17 | Micron Technology, Inc. | Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride |
JP3166745B2 (ja) * | 1998-12-25 | 2001-05-14 | 日本電気株式会社 | プラズマ処理装置ならびにプラズマ処理方法 |
DE10080457T1 (de) | 1999-02-12 | 2001-04-26 | Gelest Inc | CVD-Abscheidung von Wolframnitrid |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
WO2000055901A1 (en) | 1999-03-17 | 2000-09-21 | Semiconductor 300 Gmbh & Co. Kg | Method for filling gaps on a semiconductor wafer |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
AU5932500A (en) | 1999-07-22 | 2001-02-13 | Corning Incorporated | Extreme ultraviolet soft x-ray projection lithographic method and mask devices |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
KR100356473B1 (ko) | 1999-12-29 | 2002-10-18 | 주식회사 하이닉스반도체 | 반도체 소자의 알루미늄 옥사이드 박막 형성 방법 |
JP3437832B2 (ja) | 2000-03-22 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2001274404A (ja) | 2000-03-24 | 2001-10-05 | Toshiba Corp | 薄膜トランジスタおよびその製造方法 |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
JP2002009072A (ja) | 2000-06-23 | 2002-01-11 | Tokyo Electron Ltd | シリコン窒化膜の形成方法及び形成装置 |
US7141278B2 (en) | 2000-06-08 | 2006-11-28 | Asm Genitech Korea Ltd. | Thin film forming method |
KR100721503B1 (ko) | 2000-06-08 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
US20050230047A1 (en) | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US6482726B1 (en) | 2000-10-17 | 2002-11-19 | Advanced Micro Devices, Inc. | Control trimming of hard mask for sub-100 nanometer transistor gate |
JP2002134497A (ja) | 2000-10-23 | 2002-05-10 | Sony Corp | 半導体装置の製造方法 |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
JP3437830B2 (ja) | 2000-11-28 | 2003-08-18 | 東京エレクトロン株式会社 | 成膜方法 |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR100408733B1 (ko) | 2001-02-02 | 2003-12-11 | 주성엔지니어링(주) | 박막 증착 방법 |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR101050377B1 (ko) | 2001-02-12 | 2011-07-20 | 에이에스엠 아메리카, 인코포레이티드 | 반도체 박막 증착을 위한 개선된 공정 |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
JP4406178B2 (ja) | 2001-03-28 | 2010-01-27 | 株式会社渡辺商行 | 成膜装置 |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US6610169B2 (en) | 2001-04-21 | 2003-08-26 | Simplus Systems Corporation | Semiconductor processing system and method |
US6528430B2 (en) | 2001-05-01 | 2003-03-04 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3 |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
KR100403630B1 (ko) | 2001-07-07 | 2003-10-30 | 삼성전자주식회사 | 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법 |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
JP2003045864A (ja) | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | 基板処理装置 |
WO2003023835A1 (en) | 2001-08-06 | 2003-03-20 | Genitech Co., Ltd. | Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
JP2003119564A (ja) | 2001-10-12 | 2003-04-23 | Tokyo Electron Ltd | 成膜方法及びプラズマcvd装置 |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
JP2003197615A (ja) * | 2001-12-26 | 2003-07-11 | Tokyo Electron Ltd | プラズマ処理装置およびそのクリーニング方法 |
DE10208450B4 (de) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen |
US6962876B2 (en) | 2002-03-05 | 2005-11-08 | Samsung Electronics Co., Ltd. | Method for forming a low-k dielectric layer for a semiconductor device |
US7250083B2 (en) | 2002-03-08 | 2007-07-31 | Sundew Technologies, Llc | ALD method and apparatus |
KR20030081144A (ko) | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | 종형 반도체 제조 장치 |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
KR100468729B1 (ko) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법 |
US7374617B2 (en) | 2002-04-25 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition methods and chemical vapor deposition methods |
US6777308B2 (en) | 2002-05-17 | 2004-08-17 | Micron Technology, Inc. | Method of improving HDP fill process |
US20040129212A1 (en) | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
US7115228B2 (en) | 2002-05-24 | 2006-10-03 | Baxter International Inc. | One-piece tip protector and organizer |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100472777B1 (ko) | 2002-06-26 | 2005-03-10 | 동부전자 주식회사 | 박막 적층 방법 |
JP5005170B2 (ja) | 2002-07-19 | 2012-08-22 | エーエスエム アメリカ インコーポレイテッド | 超高品質シリコン含有化合物層の形成方法 |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6730164B2 (en) | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
US6784049B2 (en) | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
US6774040B2 (en) | 2002-09-12 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
JP4358492B2 (ja) | 2002-09-25 | 2009-11-04 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
WO2004032196A2 (en) | 2002-10-03 | 2004-04-15 | Pan Jit Americas, Inc. | Method of fabricating semiconductor by nitrogen doping of silicon film |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7097886B2 (en) | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
US6890656B2 (en) | 2002-12-20 | 2005-05-10 | General Electric Company | High rate deposition of titanium dioxide |
CN101572232B (zh) | 2002-12-20 | 2011-12-21 | 应用材料有限公司 | 形成高质量的低温氮化硅层的方法 |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
KR100546852B1 (ko) | 2002-12-28 | 2006-01-25 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6930059B2 (en) | 2003-02-27 | 2005-08-16 | Sharp Laboratories Of America, Inc. | Method for depositing a nanolaminate film by atomic layer deposition |
US7288292B2 (en) | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US6930058B2 (en) | 2003-04-21 | 2005-08-16 | Micron Technology, Inc. | Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge |
WO2004094695A2 (en) | 2003-04-23 | 2004-11-04 | Genus, Inc. | Transient enhanced atomic layer deposition |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US6949442B2 (en) | 2003-05-05 | 2005-09-27 | Infineon Technologies Ag | Methods of forming MIM capacitors |
US6765303B1 (en) | 2003-05-06 | 2004-07-20 | Advanced Micro Devices, Inc. | FinFET-based SRAM cell |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
US7264849B2 (en) | 2003-07-11 | 2007-09-04 | Optisolar, Inc. | Roll-vortex plasma chemical vapor deposition method |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
KR100568859B1 (ko) | 2003-08-21 | 2006-04-10 | 삼성전자주식회사 | 디램 반도체 장치의 트랜지스터 제조방법 |
KR100500472B1 (ko) | 2003-10-13 | 2005-07-12 | 삼성전자주식회사 | 리세스 게이트 트랜지스터 구조 및 형성방법 |
US7261919B2 (en) | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
JP2005210076A (ja) | 2003-12-25 | 2005-08-04 | Semiconductor Leading Edge Technologies Inc | 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法 |
KR100545697B1 (ko) | 2003-12-29 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체소자의 트렌치 소자분리 방법 |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050181535A1 (en) | 2004-02-17 | 2005-08-18 | Yun Sun J. | Method of fabricating passivation layer for organic devices |
US7088003B2 (en) | 2004-02-19 | 2006-08-08 | International Business Machines Corporation | Structures and methods for integration of ultralow-k dielectrics with improved reliability |
JP4279176B2 (ja) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | シリコン窒化膜の形成方法 |
KR100538096B1 (ko) | 2004-03-16 | 2005-12-21 | 삼성전자주식회사 | 원자층 증착 방법을 이용하는 커패시터 형성 방법 |
JP2005310927A (ja) | 2004-04-20 | 2005-11-04 | Toshiba Corp | 紫外線照射による高品質シリコン窒化膜の成膜方法 |
US7259050B2 (en) | 2004-04-29 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of making the same |
US20070066038A1 (en) * | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US7001844B2 (en) | 2004-04-30 | 2006-02-21 | International Business Machines Corporation | Material for contact etch layer to enhance device performance |
US7651729B2 (en) | 2004-05-14 | 2010-01-26 | Samsung Electronics Co., Ltd. | Method of fabricating metal silicate layer using atomic layer deposition technique |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100591157B1 (ko) | 2004-06-07 | 2006-06-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
US7449345B2 (en) | 2004-06-15 | 2008-11-11 | Headway Technologies, Inc. | Capping structure for enhancing dR/R of the MTJ device |
JP4396547B2 (ja) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20050287747A1 (en) | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
US7488690B2 (en) | 2004-07-06 | 2009-02-10 | Applied Materials, Inc. | Silicon nitride film with stress control |
JP4595702B2 (ja) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
JP4179311B2 (ja) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP4470023B2 (ja) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | シリコン窒化物膜の製造方法 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
KR101170861B1 (ko) | 2004-09-01 | 2012-08-03 | 액셀리스 테크놀로지스, 인크. | 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
JP2006135029A (ja) | 2004-11-04 | 2006-05-25 | Sharp Corp | ドライエッチング装置 |
US20060105106A1 (en) | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
KR100648252B1 (ko) | 2004-11-22 | 2006-11-24 | 삼성전자주식회사 | 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법 |
JP4701691B2 (ja) | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | エッチング方法 |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7205187B2 (en) | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7838072B2 (en) | 2005-01-26 | 2010-11-23 | Tokyo Electron Limited | Method and apparatus for monolayer deposition (MLD) |
US20060183055A1 (en) | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
KR100622609B1 (ko) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | 박막 형성 방법 |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
CN100554506C (zh) | 2005-03-09 | 2009-10-28 | 东京毅力科创株式会社 | 半导体处理用的成膜方法及装置 |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
JP4258518B2 (ja) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR100640638B1 (ko) | 2005-03-10 | 2006-10-31 | 삼성전자주식회사 | 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법 |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
JP2006261434A (ja) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | シリコン酸化膜の形成方法 |
US7341959B2 (en) | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7314835B2 (en) | 2005-03-21 | 2008-01-01 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
JP4228150B2 (ja) | 2005-03-23 | 2009-02-25 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
JP4642528B2 (ja) * | 2005-03-31 | 2011-03-02 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US7361538B2 (en) | 2005-04-14 | 2008-04-22 | Infineon Technologies Ag | Transistors and methods of manufacture thereof |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7176084B2 (en) | 2005-06-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP4752349B2 (ja) | 2005-06-23 | 2011-08-17 | 大日本印刷株式会社 | パターン形成体およびその製造方法 |
JP2007019145A (ja) | 2005-07-06 | 2007-01-25 | Tokyo Electron Ltd | シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム |
JP2007043147A (ja) | 2005-07-29 | 2007-02-15 | Samsung Electronics Co Ltd | 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法 |
JP4305427B2 (ja) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7132353B1 (en) | 2005-08-02 | 2006-11-07 | Applied Materials, Inc. | Boron diffusion barrier by nitrogen incorporation in spacer dielectrics |
KR100652427B1 (ko) | 2005-08-22 | 2006-12-01 | 삼성전자주식회사 | Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법 |
KR100734748B1 (ko) | 2005-09-08 | 2007-07-03 | 주식회사 아이피에스 | 인시튜 질화물(in-situ nitride) 박막증착방법 |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070065576A1 (en) | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US20070068795A1 (en) * | 2005-09-26 | 2007-03-29 | Jozef Brcka | Hollow body plasma uniformity adjustment device and method |
US7524743B2 (en) | 2005-10-13 | 2009-04-28 | Varian Semiconductor Equipment Associates, Inc. | Conformal doping apparatus and method |
WO2007043709A1 (ja) | 2005-10-14 | 2007-04-19 | Nec Corporation | 半導体装置の製造方法およびその製造装置 |
TW200737307A (en) | 2005-11-04 | 2007-10-01 | Applied Materials Inc | Apparatus and process for plasma-enhanced atomic layer deposition |
US7897217B2 (en) | 2005-11-18 | 2011-03-01 | Tokyo Electron Limited | Method and system for performing plasma enhanced atomic layer deposition |
KR100891779B1 (ko) | 2005-11-28 | 2009-04-07 | 허니웰 인터내셔날 인코포레이티드 | 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법 |
US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
US7829159B2 (en) | 2005-12-16 | 2010-11-09 | Asm Japan K.K. | Method of forming organosilicon oxide film and multilayer resist structure |
JP2007180362A (ja) | 2005-12-28 | 2007-07-12 | Toshiba Corp | 半導体装置 |
JP4434149B2 (ja) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070215036A1 (en) | 2006-03-15 | 2007-09-20 | Hyung-Sang Park | Method and apparatus of time and space co-divided atomic layer deposition |
US7959985B2 (en) | 2006-03-20 | 2011-06-14 | Tokyo Electron Limited | Method of integrating PEALD Ta-containing films into Cu metallization |
WO2007118026A2 (en) | 2006-03-31 | 2007-10-18 | Applied Materials, Inc. | Step coverage and pattern loading for dielectric films |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US7645484B2 (en) | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
JP4929811B2 (ja) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2007287890A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置 |
JP2007287889A (ja) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | 絶縁膜の成膜方法、半導体装置の製法 |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US7727413B2 (en) * | 2006-04-24 | 2010-06-01 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
KR100756809B1 (ko) | 2006-04-28 | 2007-09-07 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
JP5543203B2 (ja) | 2006-06-16 | 2014-07-09 | フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. | 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置 |
US7625820B1 (en) | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20080014759A1 (en) | 2006-07-12 | 2008-01-17 | Applied Materials, Inc. | Method for fabricating a gate dielectric layer utilized in a gate structure |
KR100791334B1 (ko) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US7435684B1 (en) | 2006-07-26 | 2008-10-14 | Novellus Systems, Inc. | Resolving of fluorine loading effect in the vacuum chamber |
US7601648B2 (en) | 2006-07-31 | 2009-10-13 | Applied Materials, Inc. | Method for fabricating an integrated gate dielectric layer for field effect transistors |
US7592231B2 (en) | 2006-08-01 | 2009-09-22 | United Microelectronics Corp. | MOS transistor and fabrication thereof |
US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
JP4929932B2 (ja) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
WO2008035678A1 (fr) | 2006-09-19 | 2008-03-27 | Tokyo Electron Limited | Processus de nettoyage de plasma et procédé cvd plasma |
TWI462179B (zh) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
JP5258229B2 (ja) | 2006-09-28 | 2013-08-07 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
JP2010506408A (ja) | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | 金属シリケート膜のald |
US20080087890A1 (en) | 2006-10-16 | 2008-04-17 | Micron Technology, Inc. | Methods to form dielectric structures in semiconductor devices and resulting devices |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
KR100816759B1 (ko) | 2006-11-09 | 2008-03-25 | 삼성전자주식회사 | 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법 |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US8080479B2 (en) * | 2007-01-30 | 2011-12-20 | Applied Materials, Inc. | Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator |
US7923068B2 (en) | 2007-02-12 | 2011-04-12 | Lotus Applied Technology, Llc | Fabrication of composite materials using atomic layer deposition |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US20080207007A1 (en) | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
KR100805018B1 (ko) | 2007-03-23 | 2008-02-20 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7776733B2 (en) | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR101457656B1 (ko) | 2007-05-17 | 2014-11-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기 |
JP2008294260A (ja) | 2007-05-25 | 2008-12-04 | Sony Corp | 半導体装置とその製造方法並びに積層絶縁膜とその形成方法 |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
KR100956210B1 (ko) | 2007-06-19 | 2010-05-04 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
EP2011898B1 (en) | 2007-07-03 | 2021-04-07 | Beneq Oy | Method in depositing metal oxide materials |
US7572052B2 (en) | 2007-07-10 | 2009-08-11 | Applied Materials, Inc. | Method for monitoring and calibrating temperature in semiconductor processing chambers |
US20090015268A1 (en) * | 2007-07-13 | 2009-01-15 | Gardner Delrae H | Device and method for compensating a capacitive sensor measurement for variations caused by environmental conditions in a semiconductor processing environment |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
US7633125B2 (en) | 2007-08-31 | 2009-12-15 | Intel Corporation | Integration of silicon boron nitride in high voltage and small pitch semiconductors |
JP5098882B2 (ja) | 2007-08-31 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20090065896A1 (en) | 2007-09-07 | 2009-03-12 | Seoul National University Industry Foundation | CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME |
US20090075490A1 (en) | 2007-09-18 | 2009-03-19 | L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon-containing films |
US8119424B2 (en) | 2007-09-28 | 2012-02-21 | Everspin Technologies, Inc. | Electronic device including a magneto-resistive memory device and a process for forming the electronic device |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
KR20090057665A (ko) | 2007-12-03 | 2009-06-08 | 주식회사 아이피에스 | 금속을 함유하는 박막 형성방법 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
KR101221598B1 (ko) | 2007-12-18 | 2013-01-14 | 삼성전자주식회사 | 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법. |
KR20090067576A (ko) | 2007-12-21 | 2009-06-25 | 삼성전자주식회사 | 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법 |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP5297048B2 (ja) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
TWI388078B (zh) | 2008-01-30 | 2013-03-01 | Osram Opto Semiconductors Gmbh | 電子組件之製造方法及電子組件 |
JP4959733B2 (ja) | 2008-02-01 | 2012-06-27 | 東京エレクトロン株式会社 | 薄膜形成方法、薄膜形成装置及びプログラム |
US20090203197A1 (en) | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5405031B2 (ja) | 2008-03-06 | 2014-02-05 | AzエレクトロニックマテリアルズIp株式会社 | シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法 |
JP2009260151A (ja) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | 金属ドープ層の形成方法、成膜装置及び記憶媒体 |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
KR101436564B1 (ko) | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | 비정질 실리콘 박막 형성 방법 |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
JP5190307B2 (ja) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US8373254B2 (en) | 2008-07-29 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for reducing integrated circuit corner peeling |
ES2335638B1 (es) | 2008-08-01 | 2011-02-09 | Cosentino, S.A. | Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar. |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US8357617B2 (en) | 2008-08-22 | 2013-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning a metal gate of semiconductor device |
US20100051578A1 (en) | 2008-09-04 | 2010-03-04 | Shuo-Che Chang | Method for fabricating an integrated circuit |
JP2010103484A (ja) | 2008-09-29 | 2010-05-06 | Adeka Corp | 半導体デバイス、その製造装置及び製造方法 |
US8303780B2 (en) | 2008-09-30 | 2012-11-06 | Tdk Corporation | Method of forming mask for dry etching and manufacturing method of magnetic head using the same method |
JP5233562B2 (ja) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
US20100102417A1 (en) | 2008-10-27 | 2010-04-29 | Applied Materials, Inc. | Vapor deposition method for ternary compounds |
US8580993B2 (en) | 2008-11-12 | 2013-11-12 | Air Products And Chemicals, Inc. | Amino vinylsilane precursors for stressed SiN films |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
GB0823565D0 (en) | 2008-12-24 | 2009-01-28 | Oxford Instr Plasma Technology | Signal generating system |
JP5293168B2 (ja) | 2008-12-25 | 2013-09-18 | 富士通株式会社 | レジスト組成物及びそれを用いた半導体装置の製造方法 |
JP2010183069A (ja) | 2009-01-07 | 2010-08-19 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US8142862B2 (en) | 2009-01-21 | 2012-03-27 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP2010177652A (ja) | 2009-02-02 | 2010-08-12 | Toshiba Corp | 半導体装置の製造方法 |
JP5298938B2 (ja) | 2009-02-24 | 2013-09-25 | 住友電気工業株式会社 | 半導体素子の製造方法 |
JP4792097B2 (ja) | 2009-03-25 | 2011-10-12 | 株式会社東芝 | 不揮発性記憶装置及びその製造方法 |
US8197915B2 (en) | 2009-04-01 | 2012-06-12 | Asm Japan K.K. | Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature |
JP2010251654A (ja) | 2009-04-20 | 2010-11-04 | Elpida Memory Inc | 成膜方法および半導体装置の製造方法 |
KR101114283B1 (ko) * | 2009-04-24 | 2012-03-05 | (주)제이하라 | 플라즈마 발생장치 |
JP5408483B2 (ja) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
JP2011023576A (ja) | 2009-07-16 | 2011-02-03 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP2011023655A (ja) | 2009-07-17 | 2011-02-03 | Shimadzu Corp | 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置 |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8169024B2 (en) | 2009-08-18 | 2012-05-01 | International Business Machines Corporation | Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation |
KR101680899B1 (ko) | 2009-09-02 | 2016-11-29 | 소니 주식회사 | 고체 촬상 장치 및 그 제조 방법 |
KR101732187B1 (ko) | 2009-09-03 | 2017-05-02 | 에이에스엠 저펜 가부시기가이샤 | 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법 |
US8072800B2 (en) | 2009-09-15 | 2011-12-06 | Grandis Inc. | Magnetic element having perpendicular anisotropy with enhanced efficiency |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
US8076241B2 (en) | 2009-09-30 | 2011-12-13 | Tokyo Electron Limited | Methods for multi-step copper plating on a continuous ruthenium film in recessed features |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
US8946672B2 (en) | 2009-11-11 | 2015-02-03 | Nec Corporation | Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element |
US8691675B2 (en) | 2009-11-25 | 2014-04-08 | International Business Machines Corporation | Vapor phase deposition processes for doping silicon |
KR101758944B1 (ko) | 2009-12-09 | 2017-07-18 | 노벨러스 시스템즈, 인코포레이티드 | 신규한 갭 충진 집적화 |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
KR20120103719A (ko) | 2009-12-22 | 2012-09-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 연속 플라즈마에 의한 pecvd 다단계 공정 |
US8662053B2 (en) | 2009-12-22 | 2014-03-04 | Cummins Inc. | Pre-combustion device for an internal combustion engine |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US20110159202A1 (en) | 2009-12-29 | 2011-06-30 | Asm Japan K.K. | Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD |
JP2011166106A (ja) | 2010-01-13 | 2011-08-25 | Renesas Electronics Corp | 半導体装置の製造方法及び半導体装置 |
US8703625B2 (en) | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
JP5514129B2 (ja) | 2010-02-15 | 2014-06-04 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、および成膜装置の使用方法 |
JP5742185B2 (ja) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体 |
US20130078376A1 (en) | 2010-04-01 | 2013-03-28 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
EP4084093B1 (en) | 2010-05-21 | 2024-02-21 | ASM International N.V. | Solar cell, and method of manufacturing the same |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
KR101710658B1 (ko) | 2010-06-18 | 2017-02-27 | 삼성전자 주식회사 | 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법 |
US20120021252A1 (en) | 2010-07-22 | 2012-01-26 | Synos Technology, Inc. | Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (ko) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | 사이클릭 박막 증착 방법 |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US20120064682A1 (en) | 2010-09-14 | 2012-03-15 | Jang Kyung-Tae | Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
KR101815527B1 (ko) | 2010-10-07 | 2018-01-05 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
KR102381463B1 (ko) | 2010-11-10 | 2022-04-01 | 나노시스, 인크. | 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들 |
US9719169B2 (en) * | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP2012160671A (ja) | 2011-02-02 | 2012-08-23 | Toshiba Corp | 磁気ランダムアクセスメモリ及びその製造方法 |
JP5661523B2 (ja) | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US20120258261A1 (en) * | 2011-04-11 | 2012-10-11 | Novellus Systems, Inc. | Increasing etch selectivity of carbon films with lower absorption co-efficient and stress |
WO2012148439A1 (en) | 2011-04-25 | 2012-11-01 | William Marsh Rice University | Direct growth of graphene films on non-catalyst surfaces |
US9006802B2 (en) | 2011-08-18 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device manufacturing methods and methods of forming insulating material layers |
JP2013058521A (ja) | 2011-09-07 | 2013-03-28 | Toshiba Corp | 記憶装置及びその製造方法 |
JP5551129B2 (ja) | 2011-09-07 | 2014-07-16 | 株式会社東芝 | 記憶装置 |
TW201319299A (zh) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | 用於低溫電漿輔助沉積的活化矽前驅物 |
US8575033B2 (en) | 2011-09-13 | 2013-11-05 | Applied Materials, Inc. | Carbosilane precursors for low temperature film deposition |
JP6199292B2 (ja) | 2011-09-23 | 2017-09-20 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | プラズマ活性化されるコンフォーマル誘電体膜 |
US8809169B2 (en) | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Multi-layer pattern for alternate ALD processes |
JP6043546B2 (ja) | 2011-10-21 | 2016-12-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
WO2013065806A1 (ja) | 2011-11-02 | 2013-05-10 | 宇部興産株式会社 | トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法 |
US9318431B2 (en) | 2011-11-04 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit having a MOM capacitor and method of making same |
KR20130056608A (ko) | 2011-11-22 | 2013-05-30 | 에스케이하이닉스 주식회사 | 상변화 메모리 장치 및 그의 제조방법 |
KR102090210B1 (ko) | 2011-12-20 | 2020-03-17 | 인텔 코포레이션 | 등각 저온 밀봉 유전체 확산 장벽들 |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5843318B2 (ja) | 2012-02-14 | 2016-01-13 | 株式会社Adeka | Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法 |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US8846484B2 (en) | 2012-02-15 | 2014-09-30 | Intermolecular, Inc. | ReRAM stacks preparation by using single ALD or PVD chamber |
US9390893B2 (en) * | 2012-02-22 | 2016-07-12 | Lam Research Corporation | Sub-pulsing during a state |
JP5547763B2 (ja) | 2012-03-16 | 2014-07-16 | 三井造船株式会社 | プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置 |
JP6125247B2 (ja) | 2012-03-21 | 2017-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
US8952765B2 (en) | 2012-03-23 | 2015-02-10 | Mks Instruments, Inc. | System and methods of bimodal automatic power and frequency tuning of RF generators |
US8956704B2 (en) | 2012-05-21 | 2015-02-17 | Novellus Systems, Inc. | Methods for modulating step coverage during conformal film deposition |
US8716149B2 (en) | 2012-05-29 | 2014-05-06 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having improved spacers |
US8962078B2 (en) | 2012-06-22 | 2015-02-24 | Tokyo Electron Limited | Method for depositing dielectric films |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
US20140049162A1 (en) * | 2012-08-15 | 2014-02-20 | George Thomas | Defect reduction in plasma processing |
US8795774B2 (en) | 2012-09-23 | 2014-08-05 | Rohm And Haas Electronic Materials Llc | Hardmask |
KR102207992B1 (ko) | 2012-10-23 | 2021-01-26 | 램 리써치 코포레이션 | 서브-포화된 원자층 증착 및 등각막 증착 |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
US9362133B2 (en) * | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9304396B2 (en) * | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9012336B2 (en) | 2013-04-08 | 2015-04-21 | Applied Materials, Inc. | Method for conformal treatment of dielectric films using inductively coupled plasma |
JP6494940B2 (ja) | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
CN104347421A (zh) | 2013-08-07 | 2015-02-11 | 中芯国际集成电路制造(北京)有限公司 | 鳍式场效应管的形成方法 |
KR102081195B1 (ko) | 2013-08-28 | 2020-02-25 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9564361B2 (en) | 2013-09-13 | 2017-02-07 | Qualcomm Incorporated | Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device |
TWI649803B (zh) * | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
US9368348B2 (en) | 2013-10-01 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned patterning process |
CA2927191C (en) | 2013-10-17 | 2022-02-15 | Nanosys, Inc. | Light emitting diode (led) devices |
WO2015072589A1 (en) | 2013-11-13 | 2015-05-21 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
TWI480415B (zh) | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | 多模式薄膜沉積設備以及薄膜沉積方法 |
US20150159271A1 (en) | 2013-12-09 | 2015-06-11 | Veeco Ald Inc. | Deposition of non-isostructural layers for flexible substrate |
WO2015094596A1 (en) * | 2013-12-17 | 2015-06-25 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
JP6320248B2 (ja) * | 2014-03-04 | 2018-05-09 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
CN103928396A (zh) * | 2014-04-08 | 2014-07-16 | 上海华力微电子有限公司 | 扩大沟槽开口的方法 |
US9305837B2 (en) | 2014-04-10 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and formation thereof |
US9543375B2 (en) | 2014-06-27 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | MIM/RRAM structure with improved capacitance and reduced leakage current |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
JP6512962B2 (ja) * | 2014-09-17 | 2019-05-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9496169B2 (en) | 2015-02-12 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming an interconnect structure having an air gap and structure thereof |
US9595424B2 (en) * | 2015-03-02 | 2017-03-14 | Lam Research Corporation | Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes |
US10043690B2 (en) * | 2015-03-31 | 2018-08-07 | Lam Research Corporation | Fault detection using showerhead voltage variation |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9406693B1 (en) | 2015-04-20 | 2016-08-02 | Sandisk Technologies Llc | Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory |
US9502428B1 (en) | 2015-04-29 | 2016-11-22 | Sandisk Technologies Llc | Sidewall assisted process for wide and narrow line formation |
US9859088B2 (en) * | 2015-04-30 | 2018-01-02 | Lam Research Corporation | Inter-electrode gap variation methods for compensating deposition non-uniformity |
US9299830B1 (en) | 2015-05-07 | 2016-03-29 | Texas Instruments Incorporated | Multiple shielding trench gate fet |
US20160329206A1 (en) | 2015-05-08 | 2016-11-10 | Lam Research Corporation | Methods of modulating residual stress in thin films |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
CN106373880B (zh) | 2015-07-22 | 2021-05-25 | 联华电子股份有限公司 | 半导体元件及其形成方法 |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US9768272B2 (en) | 2015-09-30 | 2017-09-19 | International Business Machines Corporation | Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity |
KR102250656B1 (ko) | 2015-10-08 | 2021-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US9627221B1 (en) * | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
-
2016
- 2016-06-30 US US15/199,608 patent/US9773643B1/en active Active
-
2017
- 2017-06-19 KR KR1020170077085A patent/KR102399577B1/ko active IP Right Grant
- 2017-06-23 JP JP2017122828A patent/JP7027050B2/ja active Active
- 2017-06-26 TW TW110129265A patent/TWI811757B/zh active
- 2017-06-26 TW TW106121191A patent/TWI738805B/zh active
- 2017-06-30 CN CN202010046740.4A patent/CN111243931B/zh active Active
- 2017-06-30 CN CN201710522311.8A patent/CN107564790B/zh active Active
- 2017-08-22 US US15/683,397 patent/US10373806B2/en active Active
-
2019
- 2019-06-26 US US16/453,237 patent/US10957514B2/en active Active
-
2021
- 2021-11-15 JP JP2021185396A patent/JP7410106B2/ja active Active
-
2022
- 2022-05-13 KR KR1020220059033A patent/KR102580991B1/ko active IP Right Grant
-
2023
- 2023-12-21 JP JP2023215357A patent/JP2024029060A/ja active Pending
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1552097A (zh) * | 2001-05-04 | 2004-12-01 | ���������ƴ���ʽ���� | 具有连续沉积和蚀刻的电离pvd |
US20030211757A1 (en) * | 2002-05-07 | 2003-11-13 | Applied Materials, Inc. | Substrate support with extended radio frequency electrode upper surface |
KR20040096380A (ko) * | 2003-05-09 | 2004-11-16 | 주식회사 아이피에스 | 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치 |
CN101044598A (zh) * | 2003-06-04 | 2007-09-26 | 应用材料公司 | Hdp-cvd多步间隙填充处理 |
CN101064272A (zh) * | 2006-04-28 | 2007-10-31 | 应用材料股份有限公司 | 采用聚合蚀刻气体的等离子体蚀刻工艺 |
US20080308526A1 (en) * | 2007-06-18 | 2008-12-18 | Lam Research Corporation | Minimization of mask undercut on deep silicon etch |
CN101743341A (zh) * | 2007-07-12 | 2010-06-16 | 应用材料股份有限公司 | 用于等离子体增强的化学气相沉积和斜边蚀刻的系统 |
US20100126667A1 (en) * | 2008-11-26 | 2010-05-27 | Advanced Micro-Fabrication Equipment, Inc. Asia | Capacitive cvd reactor and methods for plasma cvd process |
CN101736326A (zh) * | 2008-11-26 | 2010-06-16 | 中微半导体设备(上海)有限公司 | 电容耦合型等离子体处理反应器 |
TW201411776A (zh) * | 2012-05-18 | 2014-03-16 | Novellus Systems Inc | 碳沉積-蝕刻-灰化間隙塡充處理 |
KR20140060253A (ko) * | 2012-11-08 | 2014-05-19 | 노벨러스 시스템즈, 인코포레이티드 | 갭충진을 위한 컨포멀 막 증착 |
CN105391427A (zh) * | 2014-08-22 | 2016-03-09 | 朗姆研究公司 | 状态期间的子脉冲 |
CN105719954A (zh) * | 2014-12-04 | 2016-06-29 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20220069897A (ko) | 2022-05-27 |
JP2022028796A (ja) | 2022-02-16 |
US10373806B2 (en) | 2019-08-06 |
US10957514B2 (en) | 2021-03-23 |
TW202143290A (zh) | 2021-11-16 |
TW201809344A (zh) | 2018-03-16 |
JP7027050B2 (ja) | 2022-03-01 |
JP2024029060A (ja) | 2024-03-05 |
TWI738805B (zh) | 2021-09-11 |
CN111243931B (zh) | 2023-04-11 |
US9773643B1 (en) | 2017-09-26 |
JP7410106B2 (ja) | 2024-01-09 |
KR102580991B1 (ko) | 2023-09-20 |
TWI811757B (zh) | 2023-08-11 |
US20190385820A1 (en) | 2019-12-19 |
CN107564790A (zh) | 2018-01-09 |
CN107564790B (zh) | 2020-02-18 |
US20180005801A1 (en) | 2018-01-04 |
KR20180003435A (ko) | 2018-01-09 |
KR102399577B1 (ko) | 2022-05-17 |
JP2018011050A (ja) | 2018-01-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN107564790B (zh) | 用于在间隙填充中沉积和蚀刻的装置和方法 | |
JP7190814B2 (ja) | エアギャップの形成方法 | |
US10804144B2 (en) | Deposition of aluminum oxide etch stop layers | |
KR102418494B1 (ko) | 복수의 플라즈마 프로세싱 스테이션들에 걸쳐 임피던스들 또는 전력을 조정하기 위한 결합기 및 분배기 | |
US9437451B2 (en) | Radical-component oxide etch | |
KR20200028490A (ko) | 수평 표면들 상에 SiN의 선택적인 증착 | |
US10418236B2 (en) | Composite dielectric interface layers for interconnect structures | |
KR20150101927A (ko) | 플라즈마 처리 장치의 클리닝 방법 | |
US20210017643A1 (en) | Chamfer-less via integration scheme | |
CN107045999B (zh) | 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法 | |
CN114651088A (zh) | 具有射频功率渐变的等离子体增强原子层沉积 | |
WO2020028119A1 (en) | Non-selective and selective etching through alternating layers of materials |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |