TW201411776A - 碳沉積-蝕刻-灰化間隙塡充處理 - Google Patents

碳沉積-蝕刻-灰化間隙塡充處理 Download PDF

Info

Publication number
TW201411776A
TW201411776A TW102117769A TW102117769A TW201411776A TW 201411776 A TW201411776 A TW 201411776A TW 102117769 A TW102117769 A TW 102117769A TW 102117769 A TW102117769 A TW 102117769A TW 201411776 A TW201411776 A TW 201411776A
Authority
TW
Taiwan
Prior art keywords
gap
semiconductor wafer
gas
substrate
carbon
Prior art date
Application number
TW102117769A
Other languages
English (en)
Other versions
TWI605542B (zh
Inventor
Chun-Hai Ji
Sirish Reddy
Tuo Wang
Mandyam Sriram
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201411776A publication Critical patent/TW201411776A/zh
Application granted granted Critical
Publication of TWI605542B publication Critical patent/TWI605542B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供用以在半導體晶圓中執行碳間隙填充之技術、系統及設備。這些技術可包含以循環方式執行沉積-蝕刻操作,以使用碳填充間隙特徵部。可執行此沉積-蝕刻之複數循環,造成靠近該間隙特徵部之半導體晶圓之頂部表面上的碳膜局部堆積。可接著進行灰化操作,以優先地從半導體晶圓的頂部表面移除該堆積材料。可接著執行沉積-蝕刻循環之更多組,其中穿插進一步的灰化循環。

Description

碳沉積-蝕刻-灰化間隙填充處理
本發明關於一種碳沉積-蝕刻-灰化間隙填充處理之技術。
非晶碳膜已用於半導體處理中,以在蝕刻處理時提供硬遮罩將特徵部圖案轉移至基板。此等碳膜通常採用旋轉塗佈法或PECVD塗佈法進行沉積。
最近,非晶碳已用於在半導體處理操作中提供間隙特徵部之間隙填充。此等碳間隙填充膜可用以,例如,作為在邏輯/記憶體半導體元件加工中之犧牲層。
此間隙填充可使用旋塗技術或藉由例如用以提供硬遮罩的電漿增強化學氣相沉積(PECVD)技術加以提供。但是,旋轉塗佈技術提供之機械性能差,其產生不良的化學機械平坦化結果並防止或妨礙製程整合。相反地,PECVD之沉積碳膜通常具有良好的整體塗膜屬性,但因為不良的間隙填充能力,大型空隙係通常形成於溝槽狀間隙特徵部內。
在一些實施方式中,提供一種方法,其中(a)在半導體處理腔室中設置基板。該基板可具有頂部表面和至少一間隙特徵部,該間隙特徵部具有間隙入口寬度,於該處該至少一間隙特徵部與該頂部表面相交。本方法可進一步包含:(b)執行沉積處理以沉積碳膜層於該基板上以及該至少一間隙特徵部之暴露表面上。沉積處理可進行至少直到受沉積之碳膜層導致間隙入口寬度減少。本方法可進一步包含:(c)進行非等向性 蝕刻處理於該基板上,使主要的非等向性軸實質上垂直於該基板,至少直到間隙入口寬度大於在(b)結束時之間隙入口寬度。本方法亦可包含(d)執行(b)及(c)之X個額外的循環,其中X為一正整數,以及接著(e)執行灰化處理以移除在鄰近該至少一間隙特徵部之基板之頂部表面上的碳膜之局部堆積,此堆積係為(b)至(d)的過程所產生的結果。
在一些進一步的實施方式中,本方法亦可包含(f)執行(a)至(e)之Y個額外的循環,其中Y係為一正整數。
在一些實施方式中,該至少一間隙特徵部可具有ZÅ之間隙 特徵部深度,且Y可為之間。在一些實施方式中,X可為約1 和100之間,且Y可為約2和1000之間。在一些實施方式中,X可為約2和20之間,且Y可為約10至100之間。
在一些實施方式中,該至少一間隙特徵部可具有高達約12:1之深度與寬度之深寬比,且該間隙入口之寬度可為約30nm和約140nm之間。
在一些實施方式中,沉積處理可為電漿增強化學氣相沉積(PECVD)處理。在某些進一步的此等實施方式中,PECVD處理可使用CxHy前驅體。在一些還要更進一步的實施方式中,CxHy前驅體可為C2H2
在一些實施方式中,非等向性蝕刻處理相較於灰化處理,可為具有高離子濺射模式之電漿蝕刻處理。在一些進一步的此等實施方式中,非等向性蝕刻處理可使用包含H2和Ar之蝕刻化學品。
在一些實施方式中,灰化處理中可在以下條件進行:導致灰化處理優先移除在鄰近該至少一間隙特徵部的基板頂部表面上之碳膜的局部堆積,此局部堆積乃因(b)至(d)之過程而產生。
在一些實施方式中,(a)至(e)可在單一腔室進行,而不進行介於其間的真空破壞。
在一些實施方式中,沉積處理可持續從約0.5秒至約30秒之間,並在約0.025Torr至約8Torr的壓力條件下進行,並在約400至約500℃之間的溫度下進行,可包含在約100sccm至約9500sccm的流率下提供CxHy氣體至基板上方的反應區,在約100sccm至約9500sccm的流率下提供H2氣體至該反應區,並可包含供應約100W到約3000W之間的高頻射頻 功率,以及約200W到5000W之間的低頻射頻功率。在此等實施方式中,非等向性刻蝕處理可持續從約0.5秒至約30秒之間,並在介於約0.025Torr至約1Torr之間的壓力條件下進行,並在約400至約500℃之間的溫度下進行,可包含在約100sccm至約2000sccm之間的流率下提供H2氣體至該反應區,並可包含供應約100W到約1500W之間的高頻射頻功率,以及約200W到約5000W之間的低頻射頻功率。此外,在此等實施方式中,灰化處理持續從約0.5秒至約30秒之間,並在介於約4Torr至約8Torr之間的壓力條件下進行,並在約400至約500℃之間的溫度下進行,可包含在約5000sccm至約9500sccm的流率下提供H2氣體至該反應區,並可包含供應約1500W到約3000W之間的高頻射頻功率。非等向性蝕刻處理和灰化處理期間之CxHy的流率在此等實施方式中可為或約0sccm。
在一些此等實施方式中,沉積處理、非等向性蝕刻處理、及 灰化處理之其中至少一者可進一步包含一或更多選自由下列組成之群組的操作:以高達約9500sccm之流率供應He氣體至該反應區、以高達約9500sccm之流率供應N2氣體至該反應區,以及以高達約9500sccm之流率供應Ar至該反應區。
在一些進一步的此等實施方式中,灰化處理可進一步包含供 應可達約5000W之低頻射頻功率。在一些實施方式中,CxHy氣體可為C2H2
在一些實施方式中,沉積處理可持續約3秒,並在450℃下、 約0.5Torr之壓力條件下進行,並可包含以約300sccm的流率提供CxHy氣體至基板上方之反應區、以約200sccm的流率提供H2氣體至該反應區,以及以約2000sccm的流率提供Ar氣體至該反應區。在此等實施方式中,沉積處理亦可包含供應約400W之高頻射頻功率和約2400W之低頻射頻功率。此等實施方式亦包含非等向性刻蝕處理,此處理可持續約9秒,且可在約0.3Torr之壓力條件下及450℃下進行,並可包含以約400sccm的流率提供H2氣體至該反應區、以約5600sccm的流率提供Ar氣體至該反應區。在此等實施方式中,蝕刻處理亦可包含供應約為1000W之高頻射頻功率和約為2000W之低頻射頻功率。此等實施方式亦包含灰化處理,該灰化處理可持續約15秒,且可在約6Torr的壓力條件下和450℃下進行,並可包含以約 5000sccm的流率提供Ar氣體至該反應區,並供應約為3000W之高頻射頻功率。在一些此等實施方式中,CxHy氣體係為C2H2
在一些替代性實施方式中,可提供一種方法,其包含(a) 在半導體處理腔室中設置一基板,該基板具有頂部表面和至少一間隙特徵部,該特徵部具有間隙入口寬度,於該處該至少一間隙特徵部與該頂部表面相交,(b)執行沉積處理以沉積碳膜層於該基板上以及該至少一間隙特徵部之暴露表面上,其中該沉積處理係進行至少直到受沉積之碳膜層導致該間隙入口寬度減少,以及(c)進行非等向性蝕刻處理於該基板上,至少直到間隙入口寬度大於在(b)結束時之間隙入口寬度。
在一些替代性實施方式中,可提供一種方法,其包含(a) 在半導體處理腔室中提供一基板,該基板具有頂部表面和至少一間隙特徵部,該特徵部具有間隙入口寬度,於該處該至少一間隙特徵部與該頂部表面相交,(b)執行沉積處理以沉積碳膜層於該基板上以及該至少一間隙特徵部之暴露表面上,其中該沉積處理係進行至少直到受沉積之碳膜層導致該間隙入口寬度減少,以及(c)執行灰化處理以移除在鄰近該至少一間隙特徵部之基板之頂部表面上的碳膜之局部堆積,此堆積係為(b)所產生的結果。
在任一此替代性實施方式中,本方法可進一步包含:(d)執行(b)及(c)之X個額外的循環,其中X為一正整數。
在一些實施方式中,可提供半導體處理工具。半導體處理工具可包含處理腔室、一或更多流入處理腔室的氣體入口以及相連的流量控制硬體、低頻射頻(LFRF)產生器、高頻射頻(HFRF)產生器、以及具有至少一處理器和一記憶體之控制器。該至少一處理器和該記憶體可彼此通信連接,且該至少一處理器可至少可操作地與流量控制硬體、HFRF產生器,及LFRF產生器連接。該記憶體可儲存用以控制該至少一處理器之電腦可執行指令,以至少控制流量控制硬體、HFRF產生器、和LFRF產生器,以:(a)執行沉積處理於一基板上,該基板具有頂部表面和至少一間隙特徵部,該特徵部具有間隙入口寬度,於該處該至少一間隙特徵部與頂部表面相交,以沉積碳膜層在該基板上以及在該至少一間隙特徵部之暴露表面 上,其中該沉積處理係進行至少直到受沉積之碳膜層導致該間隙入口寬度減少,(b)進行非等向性蝕刻處理於該基板上,使主要的非等向性軸實質上垂直於該基板,至少直到間隙入口寬度大於在(a)結束時之間隙入口寬度,(c)執行(a)及(b)之X個額外的循環,其中X為一正整數,以及(d)執行灰化處理以移除在鄰近該至少一間隙特徵部之基板之頂部表面上的碳膜之局部堆積,此堆積係為(a)至(c)的過程所產生的結果。
在一些此等實施方式中,該電腦可執行指令更可包含用以控制該至少一處理器之複數指令,以至少控制流量控制硬體、HFRF產生器、和LFRF產生器,以e)執行(a)至(d)之Y個額外的循環,其中Y係為正整數。
101‧‧‧步驟
103‧‧‧步驟
105‧‧‧步驟
107‧‧‧步驟
109‧‧‧步驟
111‧‧‧步驟
201‧‧‧基板
203‧‧‧間隙特徵部
205‧‧‧碳膜
207‧‧‧溝槽開口
209‧‧‧特徵部
500‧‧‧反應器
502‧‧‧低頻RF產生器
504‧‧‧高頻RF產生器
506‧‧‧匹配網路
508‧‧‧歧管
510‧‧‧氣體來源管線
512‧‧‧入口
514‧‧‧噴淋頭
516‧‧‧基板
518‧‧‧基座
520‧‧‧加熱器方塊
522‧‧‧出口
524‧‧‧處理腔室
530‧‧‧系統控制器
540‧‧‧渦輪分子泵
圖1描繪一處理流程圖,顯示沉積碳膜以進行間隙填充用之技術的實施方式之一的主要操作。
圖2A-2F描繪在沉積碳膜以進行間隙填充用之技術的各個階段期間,間隙特徵部之簡化剖面圖。
圖3A顯示使用如本文所述之技術所填充的高深寬比間隙特徵部之橫剖面影像。
圖3B為使用僅沉積技術填充之圖3A的高深寬比間隙特徵部的橫剖面影像。
圖4A為使用本文所描述之技術填充之低深寬比間隙特徵部的橫剖面影像。
圖4B為使用僅沉積技術填充之圖4A的低深寬比間隙特徵部的橫剖面影像。
圖5描繪簡單的方塊圖,顯示配置以實施本文所描述之技術的各種反應器元件。
在本說明書中所描述之標的的一或更多實施方式之細節,係 於隨附圖式及以下之描述中提出。其它特徵、實施態樣和優點將從描述、附圖和請求項中變得顯而易見。需注意以下圖式之相對尺寸可能非按比例繪製,除非特別指明為縮放圖式。
應理解變量“X”和“Y”在本文中係用於未彼此關連之 兩個不同的量。就分子式而言,此等變量表示在分子內之各種原子的數量,例如,在CxHy中,X=2和Y=2之數值會產生C2H2。就處理循環之重複而言,此等數字表示所執行的各別處理循環之數目。因此,如果C2H2在一特定的處理中係用以作為CxHy氣體,則在此處理中之此氣體的X=2且Y=2之事實,並不規範以下所述之此處理的處理循環之重複數目為X=2且Y=2。
本文揭露可在PECVD平台上進行的各種新技術,此等技術 相較於其它PECVD技術,可由具有減少或最少空隙之非晶碳材料填充高深寬比的間隙特徵部,例如,具有高達12:1之深寬比和30nm或更小之間隙寬。 本文描述的技術適用於各種背景,但將主要以在半導體處理之背景加以描述。
在一種這樣的技術中,交替的{{沉積+蝕刻}X+灰化}Y處理 可在PECVD平台上實施,例如由Lam Research Corp.製造之VectorTM或SequelTM工具,以沉積一碳膜至具有例如溝槽之間隙特徵部的基板上。基板可具有額外的間隙特徵部,且此等額外的間隙特徵部可受到本文所描述之相同的處理步驟,也因此可獲益於所描述之技術。
進入溝槽之沉積可進行直到溝槽開口變小,例如關閉或變 窄,直到於溝槽內之沉積係由於頂部沉積而不可接受地變慢為止。該處理可接著切換為非等向性蝕刻處理,且其後為灰化處理。此技術的大致處理操作可包含,例如:(a)PECVD沉積模式,其中碳膜係沉積進入間隙特徵部中,直到由於該間隙頂部之沉積碳導致至該間隙特徵部(頂部)之入口關閉,而使在間隙內之沉積不可接受地變慢或停止為止;(b)高離子濺射模式,其中碳膜係由一包含H2和Ar的蝕刻化學品從該間隙入口移除,以重新打開該間隙以進行進一步膜沉積,(c)第三模式,其中該蝕刻處理條件係進行調整以造成灰化處理,該灰化處理係用以優先移除鄰近該間隙入 口、通常被稱為「頂帽」之間隙基板表面之頂部上的碳累積物。灰化操作(c)可在沉積(a)和蝕刻(b)操作之複數循環後進行。這三個操作可接著以相同或類似的循環再次重複,以逐漸填補間隙。
在其它實施例中,在操作之循環中灰化可在蝕刻前進行。
可進行蝕刻/灰化處理之最佳化,以在將碳從間隙入口移除之最初的蝕刻處理中,在濺射及修剪下方特徵部之間取得平衡。可進行灰化處理之最佳化以將在溝槽底部之灰化化學品的活動之影響降到最低。若有本文所提供之揭露內容,熟悉本技藝者無需進一步之指導亦可執行此等最佳化。
該處理可於一平面PECVD平台實施,俾使沉積-蝕刻-灰化循環係在一沒有真空中斷之處理路徑中執行。如以下所進一步詳述,化學-物理合併的蝕刻可用以優先移除沉積在溝槽頂部之膜,但對於沉積於溝槽中的膜之蝕刻較少。
PECVD平台實施方式具有成本低於高密度電漿(HDP)間隙填充平台的進一步之優點。
圖1為一處理流程圖,顯示用於間隙填充而沉積碳膜的技術之一實施方式中的主要操作。在此技術之各個階段期間的間隙特徵部之簡化橫剖面圖亦繪示於圖2A-2F中。在圖1和圖2A-2F中的元件係參照於以下之討論中。雖然圖1描繪「開始」和「結束」兩個方塊,但應當理解其他處理可能出現在所示操作之前面或後面,且「開始」和「結束」方塊不排除此等其他實施方式。在方塊101中,具有待填充間隙特徵部203之基板201係提供至沉積腔室,例如PECVD腔室。間隙特徵部203的尺寸通常為在目前的半導體處理作業常見或未來的半導體處理作業可預期者,包含窄、高深寬比溝槽(例如,12:1之深度/寬度深寬比、溝槽寬度=30nm)和較低深寬比之更寬的溝槽(例如,2:1之深度/寬度深寬比,溝槽寬度=140nm)。基板表面可為金屬、介電質或半導體材料,但最典型的可為一半導體材料,例如矽。
可接著使用PECVD(103)處理將碳膜205沉積於間隙203中。在這方面,含有用於待沉積於間隙中的膜之前驅體的處理氣體係導入 腔室中。可使用任何合適的CxHy前驅體,例如用於非晶碳和可灰化硬遮罩沉積處理中者。在許多實施方式中較佳的具體範例為乙炔(C2H2),但亦可使用其它效果良好的前驅體。通常情況下,處理氣體僅包含一種類型的烴類前驅體。其他處理氣體可包含氫、以及氮氣、氦氣、氬氣或其它惰性氣體。可使用射頻源於這些處理氣體內點燃電漿,且可接著藉由所產生的電漿增強化學氣相沉積處理將碳膜沉積在間隙中。
方塊103的沉積處理可進行直到由於頂部之沉積,而使溝 槽開口被關閉或變窄到使溝槽內之沉積不可接受地變慢的程度,如圖2B之207所示。例如,當沉積率下降到沉積循環開始的沉積速率之約40%至60%時,沉積循環之沉積速率可能不可接受地變慢。在其他實施方式中,可能有更多或更少沉積速率之下降。
在方塊105中,可執行非等向性蝕刻操作,以移除在間隙入 口處之沉積的碳膜。非等向性蝕刻操作可為具有高離子濺射模式的電漿蝕刻,其中碳膜係藉由包含H2和Ar之蝕刻化學品從間隙入口移除,從而重新打開間隙以進一步進行膜之沉積。重新打開的間隙,如圖2C所示,使間隙特徵部可進行進一步的間隙填充。可進行蝕刻操作達一特定之期間,例如,與足夠的碳膜移除相關之期間,以使間隙大致重新打開至間隙入口略為下切之程度。在一些實施方式中,間隙入口可在較小的程度上重新打開。在一些其他的實施方式中,蝕刻操作可進行直到基板之頂部表面上的碳膜係完全或幾乎完全被移除為止,並接著停止。此可防止蝕刻處理除了移除覆蓋之碳膜以外,亦移除基板材料。
相較於具有低Ar氣體流量、低層級的LFRF功率、以及低 壓的低離子濺射模式,高離子濺射模式可,例如,藉由包含高Ar氣體流量、高層級之低頻射頻(LFRF)功率、以及高壓之處理環境所提供。
在方塊107中,方塊103和105的沉積和蝕刻操作可被重複 數次(X)以繼續填充間隙。在各種實施例中,X可介於從約1到100、2到20、3到11、或是其之間的一整數值之範圍內。
經過了數次沉積和蝕刻的循環後,通常在許多實施方式中為 介於2-15次,例如5次,累積在相鄰於間隙入口的間隙基板表面之頂部上 的碳膜,通常被稱為「頂帽」之特徵部209係形成,如圖2D所示。頂帽可接著藉由進行方塊109中之灰化操作而移除,處理條件係調整以優先移除在相鄰於間隙入口的基板之頂部表面上的頂帽碳堆積,如圖2E所示。
雖然蝕刻和灰化操作兩者皆可用於從基板上移除材料,但它 們的操作機制截然不同。
在例如高離子濺射模式的電漿蝕刻處理中,蝕刻處理係主要 為非等向性。在此等處理中,離子之產生係藉由例如將如Ar或H2之氣體暴露於高頻射頻(HFRF)電磁場以產生電漿;此可在處理腔室內或遠端完成(其他的技術,例如微波技術,亦可用於產生離子)。此等離子係接著藉由偏壓電壓加速朝向晶圓(或基板),偏壓電壓係由支托該晶圓的兩個電極之間所產生的LFRF電磁場所產生。由於偏壓電壓之故,離子主要以垂直於該晶圓之方向朝晶圓移動,且離子加速時獲得能量。到達晶圓時,離子可與晶圓內或晶圓上之分子或原子碰撞,例如,在前一個沉積循環中沉積在晶圓上的碳原子。如此一來,在高能量的離子和受撞擊的原子/分子之間的動量轉移可導致受撞擊的原子/分子被撞出晶圓。因此,用於在具有高離子濺射模式之非等向性蝕刻操作中進行材料移除之機制,係主要為一物理機制,亦即,材料之移除係由於動量轉移,且此等材料移除在垂直於此等高能離子之平均速度向量之表面上的集中程度,高於平行於此速度向量之表面上。因此,在平行於離子平均速度向量之方向的材料,通常係以更快的速度移除。用語「主要非等向性軸」可用以指稱沿著該軸之例如蝕刻速率的非等向性行為最大之軸。
相反地,灰化處理通常在本質上較為等向性,此係因為它們 在很大程度上取決於用以進行材料移除之化學交互作用,而不是取決於高能離子之定向運動。例如,任何暴露於灰化操作中使用的處理氣體之表面,可遭遇因該暴露而導致的材料移除。此外,相對於一些化學蝕刻處理,灰化操作可產生完全處於氣相的反應產物。因此,灰化操作可能不會像其它類型的化學材料移除處理一樣,產生會污染晶圓之微粒副產物。用於碳膜的灰化操作可,例如,利用解離之H2或O2作為可與碳膜反應之處理氣體,以形成此等氣相反應副產物。
如上所述,灰化操作可優先地移除圍繞在間隙特徵部邊緣之 累積碳膜,例如,在沉積於溝槽特徵部中之碳膜上的「頂帽」特徵部。此係主要由於灰化操作實質上等向性之本質-相較於受填充間隙特徵部之暴露表面積與體積之比例,頂帽特徵部具有高暴露表面積與體積之比例。因此,相較於碳填充之間隙特徵部,頂帽特徵部因解離之灰化氣體,經歷較高之化學反應速率。因此,頂帽特徵部相較於間隙填充特徵部,係以更大的速率反應成為氣相副產品。
在方塊111中,方塊103、105、107、和109之操作係重複 Y次,直到間隙被填滿,如圖2F所示。在一些實施方式中,方塊103、105、107、和109之操作可針對每個此重複使用相同的處理參數重覆。然而,在其他實施方式中,方塊103、105、107、和109之操作可針對此等重覆之其中至少一者使用不同的處理參數重複。
操作103、105、107、和109可重複至少一次,雖然重複次 數之範圍可相當廣泛(例如,Y=2至1000)。通常Y可介於從約10到100之間,或30至60之間,或在兩者之間的任何整數值的範圍內,例如40或55次,取決於間隙高度和其他考量。在一範例中,Y=55被認為適用於3000Å深、具有約12:1之深度/寬度的深寬比之間隙特徵部。
上述處理可由以下之關係式加以描述:{{沉積+蝕刻}X+灰化}Y
適合上述技術的處理參數係列於下表。表1提供了適合上述技術的各種實施方式的處理參數之大致範圍。
表2提供了根據上述使用C2H2作為前驅體的技術之特定實 施方式,用於適合的沉積-蝕刻-灰化處理條件的具體範例之參數。
在其他實施方式中,本技術可修改俾使數個連續的沉積-灰化操作之後為蝕刻操作;可在實施本技術的過程中進行數個此等循環。此技術可由以下之關係式加以描述:{{沉積+灰化}X+蝕刻}Y
具體而言,根據這些實施方式,一個循環用的灰化操作/數個操作係在該循環之蝕刻操作前完成。所使用之特定的實施方式,即,蝕刻/灰化或灰化/蝕刻的順序,可根據例如間隙的深寬比及產量考量等因素進行選擇。
在其他實施方式中,本技術可包含執行{沉積+蝕刻}X循環,無任何介於其間的灰化循環。在其它此等實施方式中,本技術可包含執行{沉積+灰化}X循環,無任何介於其間的蝕刻循環。在任一情況下,可執行的循環數可低至一個循環,雖然通常可進行多個這樣的循環。
現在回到表2的例子,在一些實施方式中,可在同一溫度下使用相同的氣體執行蝕刻和灰化操作。然而,可改變各種其他參數,以從蝕刻切換至灰化處理。例如,Ar和H2係同時用於表2中所列之灰化和蝕刻操作,雖然相較於蝕刻操作,H2的流率在灰化操作中增加超過20倍。處理區域內之壓力係有些類似地增加近20倍。然而,這樣的變化就處理期間而言係相對成本較低,因為沒有需要在不同的處理氣體之間進行切換,也無需進行任何清洗循環。蝕刻操作和灰化操作之間的其他顯著變化,是LFRF功率在灰化操作期間關閉,且HFRF功率相較於蝕刻操作係為三倍。
在蝕刻操作中,大量的LFRF功率之應用導致大偏壓電壓在處理腔室內的電極之間發展,將由應用HFRF功率生成之電漿所產生的離子 吸引朝向晶圓。所產生之對晶圓的離子轟擊導致主要由於動量轉移所發生之非等向性材料移除。
在灰化操作期間,LFRF功率停止,導致大的偏壓電壓顯著 下降(然而仍有一些剩餘之偏壓電壓,這是由於HFRF之存在-通常比在LFRF操作期間之偏壓低約一個或更多數量級)。然而,HFRF功率係顯著地增加,在此情況下,將在蝕刻操作期間供應的HFRF之瓦數變成三倍。這將導致例如,H2或Ar之處理氣體量的增加,此處理氣體係受到由HFRF電磁場所產生的電漿解離,且接著可自由地與晶圓上的碳膜反應。因此,蝕刻操作相對於灰化操作,可被視為「高」離子濺射模式操作,因為蝕刻操作係主要由來自離子濺射的動量轉移所主導,而灰化操作可被視為「低」離子濺射模式操作,因為幾乎沒有或完全沒有發生動量轉移材料移除。
例如,在表2之蝕刻操作期間,例如在高離子濺射模式操作 期間,藉由所施加的2000W之LFRF功率和1000W之HFRF功率所產生之偏壓電壓大約是480V,而在表2之灰化操作期間,例如在低離子濺射模式操作期間,藉由3000W之HFRF和0W之LFRF所產生之偏壓電壓大約是35V。可看出,表2的蝕刻操作和灰化操作之間,有將近一數量級的偏壓電壓差。
聚焦離子束(FIB)/掃描式電子顯微鏡(SEM)影像係擷 取以比較兩個具有不同深寬比的溝槽所取得之間隙填充結果,該等具有不同深寬比的溝槽係藉由根據本揭露之{{沉積+蝕刻}X+灰化}Y技術和用於比較僅有沉積之PECVD處理所得到。參照圖3A和3B,該兩圖描繪30nm寬、12:1深度/寬度之深寬比的填充溝槽間隙特徵部之橫剖面,以及參照圖4A和4B,該兩圖描繪140nm寬、2:1深度/寬度之深寬比的填充溝槽間隙特徵部之橫剖面,可清楚看到,對於高深寬比的窄溝槽和低深寬比的寬溝槽兩者,{{沉積+蝕刻}X+灰化}Y技術的性能,如圖3A和圖4A所證明,優於僅有沉積處理的性能,如圖3B和4B所證明。藉由使用不同的Y值,以及藉由改變蝕刻時間,可改變填充之高度。
在每一種情況下,可在影像中清楚地看到,僅有PECVD沉積之處理導致在所描繪的兩個幾何形狀之溝槽的溝槽填充中,形成顯著的 空隙,而這兩個幾何形狀之溝槽卻在概述於此之使用{{沉積+蝕刻}X+灰化}Y的技術中,使用碳填充材料加以完全填充。
本發明之技術可在PECVD反應器中實施。這種反應器可具 有多種不同的形式,並可為包含一或更多腔室或「反應器」(有時亦包含數個站)的設備之一部分,每個此腔室或反應器可容納一或更多晶圓,並可用以執行各種晶圓處理操作。該一或更多腔室可將晶圓維持在一定義之位置或數個位置(在該位置內有或沒有運動,例如旋轉、振動、或其他擾動)。 在一實施方式中,在處理期間,接受碳膜沉積的晶圓可從反應器腔室內的一個站轉移到另一個站。在其他實施方式中,晶圓可從設備中之腔室轉移到另一腔室以執行不同的操作。完整的膜沉積可完全發生在單一的站,或膜整體厚度之任何小部份可沉積在任何數量的站或腔室。
在處理期間,各晶圓可由基座、晶圓夾盤及/或其他晶圓握 持設備握持在適當位置。對於晶圓待加熱之某些操作而言,該設備可包含例如加熱板之加熱器。由位於加州Fremont之Lam Research公司的VectorTM(例如,C3Vector)或SequelTM(例如,C2Sequel)反應器,皆為可用於實施本文描述之技術的適合反應器範例。
圖5提供了一個簡單的方塊圖,描繪配置以執行本文描述的 技術之各種反應器元件。如該圖所示,反應器500包含圍起反應器的其他組件並用以容納由電容放電型系統產生的電漿之處理腔室524,其中該電容放電型系統包含伴隨接地之加熱器方塊520的噴淋頭514。高頻RF產生器504和低頻RF產生器502可連接至匹配網路506以及噴淋頭514。由匹配網路506供應的功率和頻率可足以從供應至處理腔室524的處理氣體中產生電漿,例如100到5000W的HFRF功率,以及100到5000W的LFRF功率總能量。在典型的處理中,HFRF元件通常可在5到60MHz之間,例如,13.56MHz。在其中具有LF元件之操作中,LF元件可為從100kHz至2MHz,如430kHz。
在反應器內,晶圓基座518可支撐基板516。晶圓基座518 可能包含夾盤、叉子、或上升銷,以在沉積及/或電漿處理反應期間及之間握持及傳輸基板。該夾盤可為靜電夾盤、機械夾盤或可用於產業中及/ 或研究之各種其他類型的夾盤。
可藉由入口512導入各種處理氣體。數個氣體來源管線510 係連接至歧管508。可預先混合複數氣體或不進行混合。可採用適當的閥調和質量流量控制機構,以確保在處理之沉積和電漿處理階段期間傳送正確的處理氣體。當化學品前驅體係以液體形式傳送的情況下,可使用液體流量控制機構。此等液體到達沉積腔室之前,可接著被汽化並在運輸過程中與處理氣體混合於加熱之歧管內,該歧管係加熱至以液體形式供應的化學品前驅體的汽化點以上。
處理氣體可經由出口522流出腔室524。真空泵,例如一或 兩階段的機械乾式泵及/或渦輪分子泵540,可通常用於將處理氣體抽出處理腔室524,並藉由使用一個閉迴路控制之流量限制裝置,例如節流閥或擺桿閥,在處理腔室524內維持適當低的壓力。
如上所述,本文所討論的技術可在多站或單站工具上實 施。在具體的實施方式中,可使用具有4站的沉積模式之300mm Novellus VectorTM工具,或具有6站沉積模式之200mm的SequelTM工具。在一些實施方式中,晶圓可在每次沉積及/或沉積後之電漿處理後索引,直到完成所有必需的沉積和處理,或可在索引晶圓之前在單一的站進行數次沉積和處理。
在一些實施方式中,可提供用以執行本文描述之技術的設 備。合適的設備可包含用於執行各種處理操作之硬體,以及具有用以根據本揭露控制處理操作的指令之系統控制器。系統控制器通常包含一或更多記憶體裝置及一或更多與各種處理控制設備,如閥門、RF產生器、晶圓處理系統等通信連接之處理器,並用以執行該等指令,俾使該設備將根據本揭露執行技術,例如圖1所提供之技術。包含用以控制根據本發明控制處理操作的指令之機器可讀取媒體可耦接至系統控制器。該控制器可與各種硬體裝置,例如質量流量控制器、閥門、RF產生器、真空泵等通信連接,以便於控制各種與如本文所述之沉積、蝕刻及灰化操作相關的處理參數。
在一些實施例中,系統控制器530可控制反應器500的所有活動。系統控制器530可執行儲存在大容量儲存裝置中、加載至記憶體裝 置中、並在處理器上執行的系統控制軟體。該系統控制軟體可包含用以控制氣體流量之時機、晶圓運動、射頻產生器激發等之指令、以及用於控制氣體之混合物、腔室及/或站之壓力、腔室及/或站之溫度、晶圓溫度、目標功率水平、射頻功率水平、基板基座、夾頭及/或接受器位置、以及由反應器設備500執行的特定處理之其它參數之指令。該系統控制軟體可以任何適當的方式配置。例如,各種處理工具元件的副程式或控制物件可被寫入,以控制執行各種處理工具流程必要的處理工具元件之操作。該系統控制軟體可以任何合適的計算機可讀取程式語言加以編碼。
系統控制器通常可包含一或更多記憶體裝置及一或更多用 以執行指令之處理器,以使該設備將根據本揭露執行技術。包含用以根據本發明控制處理操作的指令之機器可讀取媒體可耦接至系統控制器。
本文所描述之方法和設備可結合微影圖案化工具或處理使 用以用於,例如,半導體元件、顯示器、LEDs、光電板及其相似物之加工或製造等。雖非必然,但通常此等工具/處理將在一共同的處理設施中一起使用或實施。膜之微影圖案化通常包含以下步驟之部份或全部,每個步驟需使用一些可能的工具方可達成:(1)使用旋塗或噴塗工具以施加光阻於工件,即基板上;(2)使用熱板或爐或紫外線固化工具以固化光阻;(3)使用如晶圓步進器之工具以使光阻暴露於可見光或紫外線或X射線;(4)使光阻顯影,以選擇性地移除光阻,從而使用如濕檯之工具使之圖案化;(5)使用乾式或電漿輔助蝕刻工具以轉移光阻圖案到下層之膜或工件;(6)使用如射頻或微波電漿光阻剝離機之工具以移除光阻。在一實施方式中,晶圓上的一或更多間隙特徵部係使用如本文所述之技術,使用碳膜加以填充。碳膜可接著用於,例如,本文所述之目的的其中之一。另外,本實施方式可包含上述步驟(1)-(6)之一或更多者。
本發明提供在使用沉積-蝕刻-灰化處理之半導體處理 中,用於碳間隙填充之技術、設備及系統。可有效地應用所描述之方法、設備及系統,以達到實質上無空隙、高深寬比的碳間隙填充。除了獲得沒有空隙形成之無縫間隙填充,如本文所述之技術、設備及系統亦可進行展現優越材料性能之緻密碳材料的沉積,例如,比旋塗碳膜處理所提供之更 高的硬度和模量。
雖然為了清楚地理解之目的已詳細地描述前述概念,但顯而易見的是在本揭露範圍內之特定變更及改變仍可加以實施。應注意有許多實施本揭露之處理、系統和設備之替代性方式。因此,本實施方式係被視為是例示性而非限制性,且本揭露並不侷限於本文所提供之細節。
101‧‧‧步驟
103‧‧‧步驟
105‧‧‧步驟
107‧‧‧步驟
109‧‧‧步驟
111‧‧‧步驟

Claims (20)

  1. 一種用以在半導體晶圓中執行碳間隙填充之方法,包含:a)在半導體處理腔室中設置基板,該基板具有一頂部表面和至少一間隙特徵部,該至少一間隙特徵部具有一間隙入口寬度,於該處該至少一間隙特徵部與該頂部表面相交;b)執行沉積處理以沉積碳膜層於該基板上以及該至少一間隙特徵部之暴露表面上,其中該沉積處理係進行至少直到受沉積之該碳膜層導致該間隙入口寬度減少;c)進行非等向性蝕刻處理於該基板上,使主要的非等向性軸實質上垂直於該基板,至少直到該間隙入口寬度大於在(b)結束時之該間隙入口寬度;d)執行(b)及(c)之X個額外的循環,其中X為一正整數;以及e)執行灰化處理以移除在鄰近該至少一間隙特徵部之該基板之該頂部表面上的碳膜局部堆積,該碳膜局部堆積係為(b)至(d)的過程所產生的結果。
  2. 如申請專利範圍第1項之用以在半導體晶圓中執行碳間隙填充之方法,更包含:f)執行(a)至(e)之Y個額外的循環,其中Y係為一正整數。
  3. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中:該至少一間隙特徵部具有ZÅ之間隙特徵部深度,且 Y為之間。
  4. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中:X為約1和100之間,且Y為約2和1000之間。
  5. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中:X為約2和20之間,且Y為約10至100之間。
  6. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中:該至少一間隙特徵部具有高達約12:1之深度與寬度之深寬比,且該間隙入口寬度為約30nm和約140nm之間。
  7. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中該沉積處理係為電漿增強化學氣相沉積(PECVD)處理。
  8. 如申請專利範圍第7項之用以在半導體晶圓中執行碳間隙填充之方法,其中該PECVD處理使用CxHy前驅體。
  9. 如申請專利範圍第8項之用以在半導體晶圓中執行碳間隙填充之方法,其中該CxHy前驅體為C2H2
  10. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中該非等向性蝕刻處理相較於該灰化處理,為具有高離子濺射模式之電漿蝕刻處理。
  11. 如申請專利範圍第10項之用以在半導體晶圓中執行碳間隙填充之方法,其中該非等向性蝕刻處理使用包含H2和Ar的蝕刻化學品。
  12. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中該灰化處理係在以下條件進行:導致該灰化處理優先移除在 鄰近該至少一間隙特徵部的該基板頂部表面上之該碳膜局部堆積,該碳膜局部堆積乃因(b)至(d)之過程而產生。
  13. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中(a)至(e)可在單一腔室進行,而不進行介於其間的真空破壞。
  14. 如申請專利範圍第1項或第2項之用以在半導體晶圓中執行碳間隙填充之方法,其中:該沉積處理:持續從約0.5秒至約30s之間,係在約0.025Torr至約8Torr之間的壓力條件下進行,係在約400至約500℃之間的溫度下進行,包含在約100sccm至約9500sccm的流率下,提供CxHy氣體至該基板上方的反應區,包含在約100sccm至約9500sccm的流率下提供H2氣體至該反應區,包含供應約100W到約3000W之間的高頻射頻功率,以及包含供應約200W到5000W之間的低頻射頻功率;該非等向性蝕刻處理:持續從約0.5秒至約30秒之間,係在約0.025Torr至約1Torr之間的壓力條件下進行,係在約400至約500℃之間的溫度下進行,包含在約100sccm至約2000sccm的流率下提供H2氣體至該反應區,包含供應約100W到約1500W之間的高頻射頻功率,包含供應約200W到約5000W之間的低頻射頻功率;且該灰化處理:從約0.5秒持續至約30秒之間, 係在介於約4Torr至約8Torr之間的壓力條件下進行,係在約400至約500℃之間的溫度下進行,包含在約5000sccm至約9500sccm的流率下提供H2氣體至該反應區,以及包含供應約1500W到約3000W之間的高頻射頻功率,其中在該非等向性蝕刻處理和該灰化處理期間之CxHy的流率可為或約0sccm。
  15. 如申請專利範圍第14項之用以在半導體晶圓中執行碳間隙填充之方法,其中該沉積處理、該非等向性蝕刻處理、及該灰化處理之其中至少一者更包含一或更多選自由下列組成之群組的操作:以高達約9500sccm之流率供應He氣體至該反應區,以高達約9500sccm之流率供應N2氣體至該反應區,以高達約9500sccm之流率供應Ar氣體至該反應區。
  16. 如申請專利範圍第14項之用以在半導體晶圓中執行碳間隙填充之方法,其中該灰化處理更包含供應可達約5000W之低頻射頻功率。
  17. 如申請專利範圍第14項之用以在半導體晶圓中執行碳間隙填充之方法,其中該CxHy氣體為C2H2
  18. 如申請專利範圍第14項之用以在半導體晶圓中執行碳間隙填充之方法,其中:該沉積處理:持續約3秒,係在約0.5Torr的壓力條件下進行,係在約450℃的溫度條件下進行,包含以約300sccm的流率提供CxHy氣體至該基板上方之反應區,包含以約為200sccm的流率提供H2氣體至該反應區,包含以約2000sccm的流率提供Ar氣體至該反應區, 包含供應約400W之高頻射頻功率,包含供應約2400W之低頻射頻功率;該非等向性蝕刻處理:持續約9秒,係在約0.3Torr的壓力條件下進行,係在約450℃的溫度條件下進行,包含以約400sccm的流率提供H2氣體至該反應區,包含以約5600sccm的流率提供Ar氣體至該反應區,包含供應約為1000W之高頻射頻功率,包含提供約為2000W之低頻射頻功率;且該灰化處理:持續約15秒,係在約6Torr的壓力條件下進行,係在約450℃的溫度條件下進行,包含以約5000sccm的流率提供Ar氣體至該反應區,以及包含供應約為3000W之高頻射頻功率。
  19. 一種用以在半導體晶圓中執行碳間隙填充之設備,包含:處理腔室;進入該處理腔室的一或更多氣體入口以及相連的流量控制硬體;低頻射頻(LFRF)產生器;高頻射頻(HFRF)產生器;以及控制器,具有至少一處理器和一記憶體,其中:該至少一處理器和該記憶體係彼此通信連接,該至少一處理器係至少可操作地與該流量控制硬體、該HFRF產生器,及該LFRF產生器連接,且該記憶體儲存用以控制該至少一處理器之電腦可執行指令,以至少控制該流量控制硬體、該HFRF產生器、和該LFRF產生器,以:a)執行沉積處理於一基板上,該基板具有頂部表面和至少一間隙 特徵部,該至少一間隙特徵部具有間隙入口寬度,於該處該至少一間隙特徵部與該頂部表面相交,以沉積碳膜層於該基板上及該至少一間隙特徵部之暴露表面上,其中該沉積處理係進行至少直到受沉積之該碳膜層導致該間隙入口寬度減少;b)進行非等向性蝕刻處理於該基板上,使主要的非等向性軸實質上垂直於該基板,至少直到該間隙入口寬度大於在(a)結束時之該間隙入口寬度;c)執行(a)及(b)之X個額外的循環,其中X為一正整數,以及d)執行灰化處理以移除在鄰近該至少一間隙特徵部之該基板之該頂部表面上的碳膜局部堆積,該碳膜局部堆積係為(a)至(c)的過程所產生的結果。
  20. 如申請專利範圍第19項之用以在半導體晶圓中執行碳間隙填充之設備,其中該電腦可執行指令更包含用以控制該至少一處理器之複數指令,以至少控制該流量控制硬體、該HFRF產生器、和該LFRF產生器,以e)執行(a)至(d)之Y個額外的循環,其中Y係為正整數。
TW102117769A 2012-05-18 2013-05-20 碳沉積-蝕刻-灰化間隙塡充處理 TWI605542B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261648979P 2012-05-18 2012-05-18

Publications (2)

Publication Number Publication Date
TW201411776A true TW201411776A (zh) 2014-03-16
TWI605542B TWI605542B (zh) 2017-11-11

Family

ID=49919946

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102117769A TWI605542B (zh) 2012-05-18 2013-05-20 碳沉積-蝕刻-灰化間隙塡充處理

Country Status (4)

Country Link
US (1) US9023731B2 (zh)
KR (1) KR102176750B1 (zh)
SG (1) SG195494A1 (zh)
TW (1) TWI605542B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111243931A (zh) * 2016-06-30 2020-06-05 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法
US11066757B2 (en) 2016-02-29 2021-07-20 Shin-Etsu Chemical Co., Ltd. Diamond substrate and freestanding diamond substrate

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
JP2017110293A (ja) * 2015-12-15 2017-06-22 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US20170170065A1 (en) * 2015-12-15 2017-06-15 Tokyo Electron Limited Carbon film forming method, carbon film forming apparatus, and storage medium
DE102016200367B3 (de) * 2016-01-14 2017-02-02 Hochschule Wismar Verfahren zum Herstellen einer dünnen Schicht aus porösem DLC, Verwendung einer PECVD-Anlage und mit porösem DLC beschichtetes Werkstück
CN106128996A (zh) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 一种无缝多晶硅插塞的形成方法
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US20190067014A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP7151976B2 (ja) 2018-03-28 2022-10-12 インテル・コーポレーション 半導体構造の製造のための炭素系誘電体材料および結果として得られる構造
TWI764008B (zh) * 2018-06-19 2022-05-11 美商應用材料股份有限公司 高品質間隙填充的高偏壓沉積
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR20210062561A (ko) * 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
JP7478059B2 (ja) * 2020-08-05 2024-05-02 株式会社アルバック シリコンのドライエッチング方法
US11655537B2 (en) * 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
US20220238331A1 (en) * 2021-01-25 2022-07-28 Applied Materials, Inc. Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US5222549A (en) 1988-07-04 1993-06-29 Japan Oxygen Co., Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
EP0539559A1 (en) 1991-04-03 1993-05-05 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
JP3402972B2 (ja) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
JP2002504189A (ja) 1997-06-16 2002-02-05 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 基板の真空被覆方法および装置
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JPWO2003095193A1 (ja) 2002-05-09 2005-09-08 独立行政法人理化学研究所 薄膜材料およびその製造方法
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR20060029762A (ko) * 2004-10-04 2006-04-07 삼성전자주식회사 반도체 장치의 박막 형성 방법
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
WO2006073871A1 (en) 2004-12-30 2006-07-13 Applied Materials, Inc. Line edge roughness reduction compatible with trimming
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
TWI579916B (zh) * 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11066757B2 (en) 2016-02-29 2021-07-20 Shin-Etsu Chemical Co., Ltd. Diamond substrate and freestanding diamond substrate
TWI745350B (zh) * 2016-02-29 2021-11-11 日商信越化學工業股份有限公司 鑽石基板的製造方法、鑽石基板及鑽石自支撐基板
CN111243931A (zh) * 2016-06-30 2020-06-05 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法
CN111243931B (zh) * 2016-06-30 2023-04-11 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法

Also Published As

Publication number Publication date
KR20130129146A (ko) 2013-11-27
SG195494A1 (en) 2013-12-30
KR102176750B1 (ko) 2020-11-10
US20140094035A1 (en) 2014-04-03
US9023731B2 (en) 2015-05-05
TWI605542B (zh) 2017-11-11

Similar Documents

Publication Publication Date Title
TWI605542B (zh) 碳沉積-蝕刻-灰化間隙塡充處理
KR102455124B1 (ko) 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
KR102478222B1 (ko) 비정질 탄소 하드마스크 막들의 탄소-수소 함량을 감소시키기 위한 시스템들 및 방법들
US9659791B2 (en) Metal removal with reduced surface roughness
US9431269B2 (en) Dual chamber plasma etcher with ion accelerator
TWI421364B (zh) 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法
US9589799B2 (en) High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US7294580B2 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP2018186269A (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
TW201632650A (zh) 無氨無氯保形氮化矽膜的沉積方法
JP2021534589A (ja) 高アスペクト比エッチングのための金属含有パシベーション
CN110892332A (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
US11823909B2 (en) Selective processing with etch residue-based inhibitors
Ventzek et al. Atomic precision device fabrication using cyclic self-limiting plasma processes: involving silicon, silicon nitride, and silicon dioxide