CN101044598A - Hdp-cvd多步间隙填充处理 - Google Patents

Hdp-cvd多步间隙填充处理 Download PDF

Info

Publication number
CN101044598A
CN101044598A CNA2004800152969A CN200480015296A CN101044598A CN 101044598 A CN101044598 A CN 101044598A CN A2004800152969 A CNA2004800152969 A CN A2004800152969A CN 200480015296 A CN200480015296 A CN 200480015296A CN 101044598 A CN101044598 A CN 101044598A
Authority
CN
China
Prior art keywords
gas
deposition
film
admixture
described film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800152969A
Other languages
English (en)
Other versions
CN100530554C (zh
Inventor
茨欧·J·卡勒姆
比可姆·卡泊尔
王安川
李冬青
小关胜成
曼杰·维莱卡尔
李庄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101044598A publication Critical patent/CN101044598A/zh
Application granted granted Critical
Publication of CN100530554C publication Critical patent/CN100530554C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了一种利用HDP-CVD沉积、刻蚀和沉积步骤的循环进行的间隙填充处理。第一沉积步骤期间的流动气体包括诸如He之类的惰性气体,剩余部分沉积步骤期间的流动气体包括H2。第一沉积步骤期间流动气体的较高平均分子量在限定间隙的结构上提供了某些尖头,以在刻蚀步骤期间保护这些结构。剩余部分沉积步骤期间流动气体的较低平均分子量减少了溅射特性,并且可以有效地填充间隙的剩余部分。

Description

HDP-CVD多步间隙填充处理
背景技术
在半导体技术的发展中所面临的一个持久挑战是希望增加衬底上的电路元件和互连的密度而不会引入它们之间的寄生相互作用。一般通过提供填充有电绝缘材料的间隙或沟槽来物理地和电地隔离元件,防止所不希望出现的相互作用。然而,随着电路密度的增大,这些间隙的宽度减小,从而增大了其深宽比并使得逐渐更难以在不留下空间(void)的前提下填充这些间隙。当间隙未被完全填充时所形成的空间是不希望出现的,因为它们可能例如通过捕获绝缘材料内的杂质的方式不利地影响完成后的器件的操作。
用于这种间隙填充应用的常用技术是化学气相沉积(“CVD”)技术。常规的热CVD处理向衬底表面提供反应气体,在衬底表面发生热诱导(heat-induced)化学反应以产生期望的膜。等离子体增强CVD(“PECVD”)技术通过向接近衬底表面的反应区域施加射频(“RF”)能量促进了反应气体的激励和/或分裂,从而创造等离子体。相比于常规的热CVD处理,等离子体种类的高反应率减少了发生化学反应所需的能量,从而降低了这种CVD处理所需的温度。这些优点在高密度等离子体(“HDP”)CVD技术中可得到进一步的体现,在该技术中在低真空压强下形成密集的等离子体从而使等离子体种类更具有活动性。尽管广义上这些技术中的每一种都落入“CVD技术”的范畴,但是每种都有其特征属性,从而使其更适于或更不适于某种特定应用。
在某些间隙具有大深宽比和窄宽度的示例中,利用“沉积/刻蚀/沉积”处理,通过顺序地沉积材料、刻蚀掉某些材料再沉积另外的材料来以热CVD技术填充间隙。刻蚀步骤用来对部分填充的间隙进行重整形,在其上开口使得更多的材料可以在其闭合并留下内部空间之前沉积进去。也可以用PECVD技术来进行这种沉积/刻蚀/沉积处理,但是即使通过循环进行沉积和刻蚀步骤,某些热和PECVD技术仍然不能填充具有非常大的深宽比的间隙。
通常在本领域技术人员看来,沉积和刻蚀步骤的循环在HDP-CVD处理的环境中是无用的。这是因为和PECVD处理非常不同的是,HDP-CVD处理期间等离子体的高密度离子化种类使得即使在沉积的同时亦会发生溅射。这种在沉积处理期间材料溅射和沉积的同时进行试图在沉积期间保持间隙开口,因此被认为使分离的中间刻蚀步骤多余。这种流行观点被证明是部分正确的,因为利用HDP-CVD处理可以填充比利用PECVD沉积/刻蚀/沉积处理可以填充的具有更大深宽比的间隙。然而,在Kent Rossman1998年3月20日提交的美国专利No.6,194,038中,获得了意想不到的结果,即通过在某些HDP-CVD处理条件下使用沉积/刻蚀/沉积处理,可以进一步改进间隙填充。该结果随后在George D.Papasouliotis等人1998年5月5日提交的美国专利No.6,030,181中得到了证实。
HDP-CVD沉积/刻蚀/沉积处理的使用在允许填充具有较大深宽比的间隙方面是很有价值的,但是其使用范围仍然有限制。随着发展趋势正朝着更加密集的封装器件的方向发展,希望改进HDP-CVD沉积/刻蚀/沉积处理以适应更大深宽比的间隙。
发明内容
本发明的实施例提供了一种沉积/刻蚀/沉积处理,在该处理中,以第一HDP-CVD沉积步骤,接着是刻蚀步骤,接着是剩余部分HDP-CVD沉积步骤来填充间隙。在每个沉积步骤中使用的母体气体可以是类似的,并且包括含硅气体和含氧气体以沉积二氧化硅膜来填充衬底表面中的间隙。剩余部分沉积期间的流动气体具有比第一沉积步骤期间的流动气体更低的平均分子量。例如,第一沉积步骤期间的流动气体可以包括惰性气体,剩余部分沉积步骤期间的流动气体可以包括H2。第一沉积步骤期间流动气体的较高平均分子量在限定间隙的结构上提供了某些尖头,以在刻蚀步骤期间保护这些结构。剩余部分沉积步骤期间流动气体的较低平均分子量减少了溅射特性,并且可以有效地填充间隙的剩余部分。
在本发明的不同实施例中,在第一沉积步骤期间可以使用多种不同的流动气体。例如,在一个实施例中,流动气体包括He。在另一个实施例中,其包括He和H2的混合物。在另一个实施例中,其包括两种惰性气体的混合物,如Ar和He的混合物。这种混合物中He的比率在一个实施例中可以大于95wt.%,在另一个实施例中可以大于99wt.%。
也可以使用多种不同的刻蚀气体以执行原地刻蚀步骤或远程刻蚀步骤。在本发明的实施例中,使用含氟气体来执行刻蚀,例如使用包括含氟气体、含氧气体、He和Ar的刻蚀气体混合物。也可以在刻蚀步骤期间施加电偏置。在某些示例中,可以在刻蚀步骤后通过将膜暴露于诸如O2之类的钝化气体来钝化膜。
第一沉积步骤可用来填充间隙深度的35%-85%,刻蚀步骤可以移去已沉积量厚度的5%-15%。沉积步骤期间的处理参数可以用沉积/溅射比来定义其特征,沉积/溅射比定义为净沉积速率和均厚溅射速率的和与均厚溅射速率的比。对于第一沉积步骤,在10-30范围内的沉积/溅射比可能是合适的。对于剩余部分沉积步骤,在4-10范围内的沉积/溅射比可能是合适的。
在某些实施例中,可以在第一沉积步骤之后刻蚀步骤之前利用H2作为流动气体执行另一个沉积步骤。这种实施例利用了在使用H2时更好的间隙填充能力,但是保留了使用惰性气体的第一沉积以在衬底结构上提供保护材料。该沉积步骤的合适沉积/溅射比在5-15的范围内。在这种情况下,第一沉积可用来填充间隙深度的40%-65%,而用第二沉积来填充间隙深度另外的20%-45%。
本发明的方法可以含在计算机可读存储介质上,计算机可读存储介质中含有用于引导衬底处理系统的操作的计算机可读程序。这种系统可以包括处理室、衬底夹持器、压强控制系统和气体传送系统。根据本发明的实施例,计算机可读程序包括用于操作衬底处理系统来沉积膜的指令。
通过参考说明书和附图的剩余部分,可以进一步理解本发明的特征和优点。
附图说明
图1A和1B的示意性横截面图图示了间隙填充处理期间空间的形成;
图2是图示了在本发明的一个实施例中沉积膜以填充间隙的方法的流程图;
图3提供图示了如何利用根据本发明实施例的沉积/刻蚀/沉积处理来填充高深宽比特征结构的示意性横截面图;
图4是图示了在本发明的另一个实施例中沉积膜以填充间隙的方法的流程图;
图5A和5B提供了比较不同HDP-CVD沉积/刻蚀/沉积处理的间隙填充特性的扫描电子显微镜图像;
图6是图示了在本发明的另一个实施例中沉积膜以填充间隙的方法的流程图;
图7A是根据本发明的高密度等离子体化学气相沉积系统的一个实施例的简化图;
图7B是可与图7A的示例性CVD处理室结合使用的气体环的简化横截面图;
图7C是可与图7A的示例性CVD处理室结合使用的监视器和光笔的简化图;以及
图7D是用来控制图7A的示例性CVD处理室的示例性处理控制计算机程序产品的流程图。
具体实施方式
1.简介
本发明的实施例涉及用于沉积膜以填充衬底表面中的间隙的方法和装置。对于给定宽度的间隙,这些实施例使用多步沉积和刻蚀处理,并且在该处理的不同部分中采用了不同的HDP-CVD技术的溅射特性。通过利用不同的流动气体来提供溅射剂,并调整处理气体的流速率以实现不同的沉积溅射比,实现了不同的溅射特性。沉积和刻蚀步骤的循环以及溅射特性的变化所提供的效果组合结合在一起,以实质允许具有非常高的深宽比的间隙的无空间填充。具体地说,本发明的实施例可用于填充100nm技术(0.1μm)的间隙,最低可以填充65nm技术(0.065μm)的间隙。这里所描述的实施例适合于多种不同的间隙填充应用,例如包括浅沟槽隔离应用。
在图1A和1B中以横截面图的形式示意性地示出了本发明实施例所要解决的间隙填充问题。图1A示出了具有特征层120的衬底110的垂直横截面,衬底110例如可具有半导体晶片。相邻特征尺寸限定了要被填充以介电材料118的间隙114,间隙侧壁116由特征材料120的表面限定。随着沉积的进行,介电材料118在特征材料120的表面以及衬底110上积累,并在特征材料120的拐角124处形成悬臂122。随着介电材料118沉积的继续,悬臂122一般以面包特性方式生长,其生长速度快于间隙114。最终,悬臂122生长在一起,形成图1B中所示的介电层126,从而阻止了沉积到内部空间128的进行。
2.沉积参数
这里所描述的沉积处理具有改进的间隙填充能力,其避免了诸如内部空间的形成。HDP-CVD沉积处理例如使用下面详细描述的沉积系统将气体混合物提供到处理室。从气体混合物中生成高密度等离子体,“高密度”的特征在于等离子体的离子密度大于约1011离子/cm3。高密度等离子体的组合沉积和溅射特性的相对水平可以取决于这些因素,如用来提供气体混合物的流速率、施加以维持等离子体的源功率水平、施加到衬底的偏置功率等等。这些因素的组合可以用“沉积/溅射比”(有时表示为D/S)来方便地加以量化以限制处理的特征:
Figure A20048001529600111
沉积/溅射比随着沉积的增加而增大,随着溅射的增加而减小。在D/S的定义中,“净沉积速率”指在沉积和溅射同时发生时所测得的沉积速率。“均厚溅射速率”是在不加沉积气体运行处理流程且处理室内的压强被调整为沉积期间的压强时所测得的溅射速率和在均厚的热氧化物上所测得的溅射速率。
可以使用其他等同的测量来量化HDP处理中沉积和溅射的相对贡献,如同本领域技术人员所公知的。一种通用的可替换比率是“刻蚀/沉积比”,
其随着溅射的增加而增大,随着沉积的增加而减小。如在E/D的定义中所使用的,“净沉积速率”还是指在沉积和溅射同时发生时所测得的沉积速率。然而,“单源沉积速率”指在没有溅射的情况下运行处理流程时所测得的沉积速率。这里结合D/S比描述了本发明的实施例。尽管D/S和E/D不是精确的倒数关系,但是它们确实存在着相反的关系,且两者之间的转换是本领域技术人员所理解的。
对于HDP-CVD处理中的给定步骤来说,所希望的D/S比通常是通过包括母体气体流和流动气体流来实现的,这些气体流也可以充当溅射剂。母体气体所包括的元素反应形成具有期望组分的膜。例如,为了沉积二氧化硅膜,母体气体可以包括诸如硅烷SiH4之类的含硅气体和诸如O2之类的含氧气体。通过包括具有期望掺杂物的母体气体还可以向膜中加入掺杂物,如通过包括SiF4流以使膜含氟,通过包括PH3流以使膜含磷,通过包括B2H6流以使膜含硼,包括N2流以膜含N等等。流动气体可以具有H2流或惰性气体流,惰性气体流包括He流、Ne流、Ar流或更重的惰性气体(如Xe)流。不同的流动气体所提供的溅射水平与其原子量(在H2的情形中是分子量)呈相反关系,即,H2产生的溅射比He小。在下面详细描述的本发明的某些实施例中,溅射剂具有这些气体中的至少两种的预混合物。
本发明的实施例在HDP-CVD处理中使用至少两个具有不同的流动气体流的沉积步骤。在具体示例中,使用平均分子量比第一沉积步骤中使用的流动气体的平均分子量低的流动气体来执行第二沉积步骤。在某些实施例中,这两个沉积步骤之间间有刻蚀步骤,但是在其他实施例中,这两个沉积步骤后跟有刻蚀步骤和另一个沉积步骤。不同的步骤可以在单个室中原地执行,也可以在多室系统中原地执行,或者在不同的实施例中外部执行。另外,尽管通常根据单个沉积/刻蚀/沉积循环描述了实施例,但是使用多个循环也可以实现更具挑战性的间隙填充,所述多个循环例如是沉积/刻蚀/沉积/刻蚀/沉积循环,或者是更长的循环重复。
在图2的流程图中示出了两个沉积步骤的顺序,其中利用He作为流动气体执行第一沉积步骤,并利用H2作为流动气体执行第二沉积步骤。在方框204,向处理室提供适合于沉积二氧化硅膜的第一部分的第一气体混合物。第一气体混合物的母体气体包括诸如SiH4之类的含硅气体和诸如O2之类的含氧气体,第一气体混合物的流动气体包括He。在某些实施例中,流动气体可以包括He和另一种惰性气体(如Ar)的预混合物。包括另一种惰性气体提供了比仅仅使用He更好的沉积均匀性,并且可以允许极大地节省成本,这是因为He源的成本与其他惰性气体源的成本相比相对较高。即使当该预混合物中所使用的He量远大于其他惰性气体量时,也可以实现这些优点。例如,在一个实施例中,预混合物包括大于95wt.%(质量百分比)的He,而在另一个实施例中包括大于99wt.%的He。
在方框208,从第一气体混合物生成等离子体,从而可以在方框216沉积膜的第一部分。在一个实施例中,膜的第一部分的沉积的D/S比在10到30的范围内。在沉积了膜的第一部分后,向处理室提供第二气体混合物。第二气体混合物的母体气体包括含硅气体和含氧气体,并且通常是与第一气体混合物中所使用的相同的母体气体。气体混合物的流动气体包括H2。在方框224,使用第二气体混合物沉积膜的第二部分。如果使用连续处理,则没有必要特别地从第二气体混合物生成第二等离子体,尽管在其他实施例中,可能生成这种第二等离子体。另外,第二部分沉积的合适的D/S比可能取决于所使用的完整处理的其他方面。例如,在一个实施例中,如果在没有中间刻蚀步骤的情况下执行这两个沉积步骤,则第二沉积的D/S比可以在3和10之间。这适合于在第二沉积后跟随有刻蚀步骤的处理中部分地填充间隙;下面将详细描述这种处理的示例。如果两个沉积之间间有中间刻蚀步骤,则D/S比可以在4和10之间;下面也将详细描述这种处理的示例。
3.沉积/刻蚀/沉积处理
在使用上述的两个沉积步骤作为沉积/刻蚀/沉积处理的一部分的本发明的实施例中,要注意的是不在刻蚀步骤期间破坏下层结构。这可以通过某些效果的组合来实现,这些效果包括确保在第一沉积步骤期间沉积了足够的材料以保护下层结构,并且在刻蚀步骤期间的刻蚀条件不刻蚀掉足以暴露该结构的材料。在图3中示意性地示出了从用来实现该效果组合的处理参数中获得的图样。
图中示出的初始衬底结构301包括要填充以介电材料的特征结构300。第一沉积的处理条件导致形成大的尖头308,如中间结构302所示,其中介电材料在接近下层结构的拐角处的沉积比侧壁上更厚。结构302可以从使用第一惰性气体作为流动气体的单个沉积中获得,或者可以从使用具有较低平均分子量的流动气体来执行第二沉积的两步沉积处理中获得。尖头特征结构在后续的刻蚀步骤中是受保护的,这导致了结构303的形成。各向异性地执行刻蚀(例如通过在反应刻蚀期间施加偏置)有助于对沉积层310整形,从而保留了原始特征结构300的基本形状,但是没有原来那么严格,并且保持没有暴露下层结构的拐角。随后,当执行最终的沉积时,特征结构300可以被完全填充以介电材料312,例如结构304示意所示出的。
图4提供了上述第一和第二沉积步骤中间有刻蚀步骤的沉积/刻蚀/沉积处理的示例性实施例的流程图。在对应于图2的方框216的方框404执行第一沉积,其中气体混合物包括诸如SiH4之类的含硅气体、诸如O2之类的含氧气体和作为流动气体的He。在Zhong Qiang Hua等人于2002年4月30日提交的题为“Method for high aspect ratio HDP CVD gapfill”的待审查且共同转让的美国专利申请No.10/137,132中进一步描述了在这种沉积步骤期间使用He作为流动气体的情形,这里为了一切目的通过引用并入其全部公开内容。在某些示例中,出于上述原因可以在气体混合物中包括另外的气体,例如包括另外的母体气体以加入特定掺杂物到沉积的二氧化硅膜中,或者包括另外的惰性气体作为流动气体以降低成本和/或提高沉积均匀性。在特定实施例中,流动气体提供He和Ar的预混合物的流,例如具有大于95wt.%的He或者大于99wt.%的He的流,或者提供He和H2的预混合物的流。该第一沉积的合适D/S比在10-30的范围内,这产生足够的尖头以保护下层结构,如上结合图3所述。该第一沉积可用来沉积间隙的35%-85%的厚度。
第一沉积跟随有方框408的刻蚀步骤,该步骤可以在原地执行或远程执行。在某些示例中,刻蚀步骤前可能还有冷却步骤,以降低衬底温度到低于约250℃,从而提供更好的刻蚀控制。这种冷却可以例如用衬底的氦背冷却以及其他方法来执行。在某些在原地的实施例中,向处理室中流入诸如NF3之类的含氟气体。在一个特定实施例中,利用包括NF3、O2、He和Ar的气体混合物来执行刻蚀步骤。在刻蚀步骤期间可以移去二氧化硅膜沉积厚度的大约5-15%,尽管移去量可能根据膜的外形而在不同点有所不同。
通过向衬底提供一个电偏置以吸引离子种类,可以各向异性地执行刻蚀步骤408。由于氟离子所提供的化学刻蚀机制的反应又打开了间隙,所以各向异性刻蚀的包括可以提高处理效率。对于200mm的晶片衬底来说,要产生合理的各向异性刻蚀分量需要的足够偏置功率约为300W,而对于300mm的晶片衬底来说约为650W,这时对应于约为0.9W/cm2的偏置功率密度。对于200mm的晶片衬底来说,偏置功率的上限约为1000W,而对于300mm的晶片衬底来说约为2500W,这时对应于约为3.2W/cm2的偏置功率密度,在这点处物理溅射变得显著。在一个实施例中,偏置功率密度的范围是0.9-1.6W/cm2,这对于200mm的晶片衬底来说对应于约300-500W,而对于300mm的晶片衬底来说对应于约650-1200W。在某些实施例中,刻蚀步骤可以包括多步刻蚀处理,其包括第一物理刻蚀步骤和后续的化学刻蚀步骤,这在Farhan Ahmad等人于2002年10月23日提交的题为“High density plasma CVD process for gapfill intohigh aspect ratio features”的待审查且共同转让的美国专利申请No.10/279,961中有所描述,这里为了一切目的通过引用并入其全部公开内容。
在某些实施例中,在进入下一沉积步骤之前在方框411钝化刻蚀后的膜表面,以移去由于刻蚀步骤而可能含在膜中的氟。在一个实施例中,通过将衬底暴露于钝化气体来钝化膜表面,钝化气体被选择来与膜表面产生反应以移去任何氟原子。合适的钝化气体包括分子氧O2、臭氧O3、一氧化二氮N2O以及分子氮N2与前面任何一种的组合。在Dongqing Li等人于2002年5月3日提交的题为“HDP-CVD dep/etch/dep process for improveddeposition into high aspect ratio features”的待审查且共同转让的美国专利申请No.10/138,189中描述了可用来在刻蚀步骤后钝化膜的技术的细节,这里为了一切目的通过引用并入其全部公开内容。
在方框412指示了下一个沉积步骤,在该实施例中,其对应于图2的方框224。该沉积被描述为沉积“剩余部分”,以与以下结合图6所述的实施例保持术语上的一致,其中,在刻蚀步骤之前执行两次沉积。在该示例中,利用包括诸如SiH4之类的含硅气体、诸如O2之类的含氧气体以及作为流动气体的H2的剩余部分气体混合物来执行膜的剩余部分的沉积。如果要掺杂二氧化硅膜,则气体混合物还可以另外包括其他母体气体,以提供要结合到膜中的掺杂物。剩余部分沉积的合适的D/S比的范围是4-10,这时发明者发现在使用H2作为流动气体时可以在不发生明显的再沉积的情况下填充间隙,从而允许在不形成空间的情况下填充间隙的剩余部分。在特定实施例中,以500和1000sccm之间的流速率向处理室提供H2,其中在某些实施例中处理室的压强维持在8和30mTorr之间。尽管某些应用以全打开位置的节流阀(用于抽空前级管道的阀)来操作室,但是这种操作期间室内的压强由真空泵的排气能力和气体被引入到室中的速率控制。在减小了室压强水平的情况下,离解的种类有较长的平均自由行程和减小的角向分布,从而使这种种类能够到达沟槽底部并在此发生化学反应。
发明者已进行了实验来估计结合图4所述方法的间隙填充能力,在图5A和5B中示出了这些实验的某些结果。结果图示了H2被用作剩余部分沉积的流动气体并且在第一沉积的流动气体中包括诸如He或Ar之类的较重惰性气体的临界情况。这两幅图中的每一幅都提供了具有沉积了介电材料的多个间隙的衬底的SEM横截面图。在每种情况下,在第一和剩余部分沉积步骤期间使用SiH4和O2作为母体气体来执行HDP沉积/刻蚀/沉积处理,两个步骤之间间有偏置的NF3刻蚀等离子体刻蚀。图5A的结果是利用在第一和剩余部分沉积中都使用He作为流动气体的处理来产生的,而图5B的结果是利用结合图4所述的处理产生的,在该处理中,在第一沉积中使用He作为流动气体,而在剩余部分沉积中使用H2作为流动气体。图5A示出了所得到的结构500,在该结构中,在沉积于形成在衬底504上的结构508之间的间隙512中的二氧化硅中明显存在空间516。相反地,在图5B所示的所得到的结构500′中,在沉积于形成在衬底504′上的相应结构508′之间的相应间隙512′中的二氧化硅中没有明显的空间存在。
利用本发明实施例实现好的间隙填充特性的机制可以这样理解,即,注意在所有沉积步骤中只使用H2作为流动气体可能导致在某些应用中在刻蚀步骤期间对下层结构造成破坏。尽管利用H2作为流动气体实现了好的间隙填充特性,但是很少有材料沉积在间隙的侧壁上,从而几乎不能在刻蚀阶段期间给结构提供保护。在第一沉积期间使用He或惰性气体的组合在侧壁上提供了某些保护材料,随后使用H2实现了间隙填充的改进。如果所使用的H2的份额还不足以高到消除了该步骤的保护方面的话,从而,在第一沉积期间包括H2以及惰性气体的实施例可以进一步改进了沉积。
一种可替换的在第一沉积中使用惰性气体和H2的混合物作为流动气体的方法是在第一个两次沉积后执行刻蚀阶段,接着进一步沉积以完成间隙填充。在图6的流程图中示出了该示例性实施例,这种方法在衬底具有密集封装区域和开放区域时尤其有用,这是因为这种结构在刻蚀步骤期间更增大的对开放区域中的下层结构造成破坏的危险。在方框604使用包括诸如SiH4之类的含硅气体、诸如O2之类的含氧气体和作为流动气体的He的气体混合物来执行第一沉积步骤。在某些示例中,可以在气体混合物中包括另外的气体,例如通过在气体混合物中包括另外的气体来在沉积的二氧化硅层中形成掺杂,或者通过在流动气体中包括另外的惰性气体来降低成本和/或提高沉积均匀性。至于其他实施例,流动气体可以例如提供自He和Ar的预混合物的流,例如具有大于95wt.%的He或者大于99wt.%的He的流。该第一沉积的合适D/S比在10-30范围内,这产生了足够的尖头以保护下层结构,如前所述。
第一沉积后紧跟有方框608的第二沉积,其中流动气体被替代为H2。母体气体继续包括含硅气体和含氧气体,并且通常与第一沉积步骤中所用的母体气体相同。该第二沉积的合适D/S比在5-15范围内。从而,方框604和608处的两步沉积对应于结合图2所述的方框216和224处的沉积。两个沉积步骤604和608可用来沉积至填充的间隙的约85%的深度。在一个实施例中,第一沉积604用来填充间隙深度的约40-65%。
刻蚀步骤612可以使用诸如NF3之类的含氟气体来执行,并且在不同的实施例中可以在原地执行或者利用远程等离子体执行。在特定实施例中,利用包括NF3、O2、He和Ar的气体混合物来执行刻蚀步骤。通过向衬底提供电偏置可以各向异性地执行刻蚀612,其中合适的偏置功率密度在0.9-3.2W/cm2范围内,优选地在0.9-1.6W/cm2范围内。在一个实施例中,通过刻蚀步骤612可以移去沉积厚度的约5-15%。在某些实施例中,刻蚀步骤后跟有方框614处的钝化步骤,以移去由于刻蚀步骤而可能含在膜中的氟。可以通过将膜暴露于钝化气体来进行这种钝化,钝化气体例如是O2、O3、N2O以及N2与前面任何一种的组合,如前面结合图4所述以及在美国专利申请No.10/138,189中所述,这里通过引用该专利申请并入结合于此。
随后在方框616处以利用H2作为流动气体的剩余部分(第三)沉积步骤来填充间隙的剩余部分。通常,在剩余部分沉积步骤616期间还将使用与第一和第二沉积604和608期间使用的相同的母体气体。在一个实施例中,母体气体包括诸如SiH4之类的含硅气体和诸如O2之类的含氧气体,还可以另外包括其他母体气体以提供特定的掺杂物。剩余部分沉积的合适D/S比在4-10范围内,其允许在不发生明显的再沉积的情况下进行填充,以允许在不形成空间的情况下填充间隙。在特定实施例中,以500和1000sccm之间的流速率向处理室提供H2,其中处理室的压强维持在8和30mTorr之间。
从以上描述中可以清楚看出,结合图6所述的实施例可以类似于结合图4所述的实施例,除了图4中的单次刻蚀前沉积被替代为图6中的双次刻蚀前沉积以外。这允许在刻蚀前沉积中使用H2作为流动气体以利用其更好的间隙填充特性,但是最初保留了使用He或另一种惰性气体的初始沉积以形成足够的尖头以在后续的刻蚀步骤期间保护下层结构。从而,图6所示的实施例通常能够填充具有图4中所示的实施例更大深宽比的间隙。
4.示例性衬底处理系统
以上所述的方法可利用各种HDP-CVD系统实现,结合图7A-7D详细描述了某些系统。图7A示意性地图示了一个实施例中的这种HDP-CVD系统710的结构。系统710包括室713、真空系统770、源等离子体系统780A、偏置等离子体系统780B、气体传送系统733和远程等离子体清洗系统750。
室713的上面部分包括圆顶714,圆顶714由陶瓷介电材料(如氧化铝或氮化铝)制成。圆顶714限定了等离子体处理区域716的上边界。等离子体处理区域716的底部边界由衬底717和衬底支撑构件718的上表面限定。
加热盘723和冷却盘724在顶上,并且热耦合到圆顶714。加热盘723和冷却盘724允许将圆顶温度在约100℃到200℃的范围内控制在约±10℃内。这允许对于各种处理优化圆顶温度。例如,对于清洗或刻蚀处理而言,相比于沉积处理,可能希望将圆顶维持在更高的温度处。圆顶温度的精确控制还减少了室内的碎屑或粒子数,并提高了沉积层和衬底之间的粘附力。
室713的下面部分包括体构件722,体构件722将室结合到真空系统。其上安装有衬底支撑构件718的基座部分721,基座部分721安装在体构件722上并与体构件722形成了连续的内表面。衬底通过机械刃片(未示出)经由室713侧壁上的插入/移去开口(未示出)移入和移出室713。抬升栓(未示出)在马达(也未示出)的控制下提升并随后下降,以将衬底从上加载位置757处的机械刃片移动到下处理位置756,在下处理位置756处,衬底被放置在衬底支撑构件718的衬底接收部分719上。衬底接收部分719包括静电卡盘720,静电卡盘720在衬底处理期间将衬底固定到衬底支撑构件718。在优选实施例中,衬底支撑构件718由氧化铝或铝陶瓷材料制成。
真空系统770包括节流体725,节流体725容纳有双刃片节流阀726,并且附着到门阀727和涡轮分子泵728。应当注意,节流体725对气体流的提供最小的阻塞,并且允许对称泵浦。门阀727可以将泵728与节流体725隔离,并且当节流阀726完全打开时还可以通过限制排出流能力来控制室的压强。节流阀、门阀和涡轮分子泵的这种配置允许精确稳定的控制室的压强,控制范围从约1mTorr到约2Torr。
源等离子体系统780A包括安装在圆顶714上的顶部线圈729和侧线圈730。对称的接地屏蔽(未示出)减少了线圈之间的电耦合。顶部线圈729由顶部源RF(SRF)发生器731A加电,而侧线圈730由侧SRF发生器731B加电,这允许每个线圈的功率水平和操作频率独立。这种双线圈系统允许控制室713中的径向离子密度,从而提高了等离子体的均匀性。侧线圈730和顶部线圈729一般是感应驱动的,其不需要互补电极。在特定实施例中,顶部源RF发生器731A在标称2MHz时提供高至2500W的RF功率,侧SRF发生器731B在标称2MHz时提供高至5000W的RF功率。顶部和侧RF发生器的操作频率可以偏离标称操作频率(例如分别偏离到1.7-1.9MHz和1.9-2.1MHz)以提高等离子体生成效率。
偏置等离子体系统780B包括偏置RF(“BRF”)发生器731C和偏置匹配网络732C。偏置等离子体系统780B容性地将衬底部分717耦合到体构件722,体构件722充当互补电极。偏置等离子体系统780B用来增强将由源等离子体系统780A创建的等离子体种类(例如离子)到衬底表面的输运。在特定实施例中,偏置RF发生器在13.56MHz时提供高至5000W的RF功率。
RF发生器731A和731B包括数控合成器,并且在约1.8-约2.1MHz之间的频率范围内进行操作。每个发生器包括RF控制电路(未示出),RF控制电路测量从室和线圈反射回发生器的功率,并调整操作频率以获得最低的反射功率,这是本领域普通技术人员所能够理解的。RF发生器一般被设计为操作具有50Ω特性阻抗的负载。RF功率可以从具有与发生器不同的特性阻抗的负载反射。这可以减小传送到负载的功率。另外,从负载反射回发生器的功率可能过载并损坏发生器。由于等离子体阻抗的范围取决于等离子体离子密度和其他因素可以从小于5Ω到高于900Ω,并且由于反射功率可以是频率的函数,所以根据反射功率调整发生器频率增大了从RF发生器传送到等离子体的功率并保护了发生器。另一种减少反射功率并提高效率的方法是利用匹配网络。
匹配网络732A和732B将发生器731A和731B的输出阻抗与其各自的线圈729和730相匹配。RF控制电路可以通过随着负载变化而改变匹配网络内的电容器的值以使发生器与负载相匹配来调谐这两个匹配网络。RF控制电路可以在从负载反射回发生器的功率超过某一极限时调谐匹配网络。一种提供恒定匹配并有效地禁止RF控制电路调谐匹配网络的方法是将上面的反射功率极限设为反射功率的任何期望值。这可以有助于通过保持匹配网络在其最近的条件下恒定,来在某些条件下的稳定等离子体。
其他措施也可以有助于稳定等离子体。例如,RF控制电路可用来确定传送到负载(等离子体)的功率,并且可以增大或减小发生器输出功率以在层的沉积期间保持所传送的功率基本恒定。
气体传送系统733经由气体传送管道738(只示出了其中一部分)从多个源734A-734E向室提供气体以处理衬底。本领域技术人员所能够理解的是,用于源734A-734E的实际源和传送管道738到室713的实际连接根据室713内所执行的沉积和清洗处理而变化。气体经由气体环737和/或顶部喷嘴745被引入到室713中。图7B是示出气体环737的附加细节的室713的简化的部分横截面图。
在一个实施例中,第一和第二气体源734A和734B以及第一和第二气体流控制器735A′和735B′经由气体传送管道738(只示出了其中的一部分)向气体环737中的环气孔736提供气体。气体环737有多个源气体喷嘴739(出于示例目的只示出了其中的一个),其向衬底上提供均匀的气体流。可以改变喷嘴长度和喷嘴角度,以允许对均匀性分布特性和各个室内的具体处理的气体利用效率进行裁剪。在优选实施例中,气体环737有12个以氧化铝陶瓷制成的源气体喷嘴。
气体环737还有多个氧化剂气体喷嘴740(只示出了其中的一个),在优选实施例中氧化剂气体喷嘴740与源气体喷嘴739是共平面的,并且比源气体喷嘴739短,在一个实施例中喷嘴740从体气孔741接收气体。在某些实施例中,在将源气体和氧化剂气体喷射到室713中之前不希望将其混合。在其他实施例中,可以在将氧化剂气体和源气体喷射到室713中之前将其混合,这是通过在体气孔741和气体环气孔736之间提供小孔(未示出)来实现的。在一个实施例中,第三、第四和第五气体源734C、734D和734D′以及第三和第四气体流控制器735C和735D′经由气体传送管道738向体气孔提供气体。诸如734B(其他的阀未示出)之类的另外的阀可以切断从流控制器到室的气体。
在使用易燃、有毒或有腐蚀性气体的实施例中,可能希望消除沉积后残留在气体传送管道中的气体。这可以利用诸如阀734B之类的三通阀来实现,例如,三通阀将室713与传送管道738A相隔离,并将传送管道738A内的气体排出到真空前级管道744。如图7A中所示,其他类似的阀(如743A和743C)可以结合到其他的气体传送管道。这种三通阀实际中可以放置得离室713尽可能的近,以使未排气的气体传送管道(三通阀和室之间)的容积最小。另外,双通(开-关)阀(未示出)可以放置在质量流控制器(“MFC”)和室之间,或者放置在气体源和MFC之间。
再参考图7A,室713还具有顶部喷嘴745和顶部排气口746。顶部喷嘴745和顶部排气口746允许独立控制气体的顶部流和侧流,这提高了膜的均匀性,并且允许精细地调节膜的沉积和掺杂参数。顶部排气口746是围绕顶部喷嘴745的环形开口。在一个实施例中,第一气体源734A供应源气体喷嘴739和顶部喷嘴745。源喷嘴MFC 735A′控制传送到源气体喷嘴739的气体量,顶部喷嘴MFC 735A控制传送到顶部气体喷嘴745的气体量。类似地,两个MFC 735B和735B′可用来控制从单个氧气源(如源734B)到顶部排气口746和氧化剂气体喷嘴740的氧气流。供应到顶部喷嘴745和顶部排气口746的气体可以在流入室713之前保持分离,或者可以在流入室713之前在顶部气孔748中进行混合。分离的同种气体源可用来供应室的各个部分。
还提供了远程微波生成等离子体清洗系统750,以从室组件中周期性地清洗沉积残留物。清洗系统包括远程微波发生器751,其从反应腔753内的清洗气体源734E(例如,氟分子、三氟化氮、其他碳氟化合物或等同物)中创建等离子体。从该等离子体得到的反应种类经由均布管子755经过清洗气体供给端口754提供到室713。用来包含清洗等离子体的材料(例如,腔753和均布管子755)必须能经受得住等离子体的轰击。反应腔753和供给端口754之间的距离应尽可能的短,因为所期望等离子体种类的聚集将随着从反应腔753的距离而下降。在远程腔中生成清洗等离子体允许使用高效的微波发生器,并且不会使室组件受到温度、辐射或流放电的轰击的影响,而这些影响可能存在于原地形成的等离子体中。因此,相对敏感的组件(如静电卡盘720)不需要覆盖有伪晶片,或受到其他保护,而这在原地等离子体清洗处理中是需要的。在一个实施例中,该清洗系统用来远程离解刻蚀气体的原子,这些原子随后被提供到处理室713。在另一个实施例中,刻蚀气体被直接提供到处理室713。在另一个实施例中,使用了多个处理室,并且在分离的室中执行沉积和刻蚀步骤。
系统控制器760控制系统710的操作。在优选实施例中,控制器760包括耦合到处理器761的存储器762,如硬盘驱动器、软盘驱动器(未示出)和卡架(未示出)。卡架可以包含单板计算机(SBC)(未示出)、模拟和数字输入/输出板(未示出)、接口板(未示出)和步进马达控制器板(未示出)。系统控制器遵从欧洲通用模块(“VME”)标准,其定义了板、卡盒和连接器尺寸和类型。VME标准还定义了具有16位数据总线和24位地址总线的总线结构。系统控制器731在存储在硬盘驱动器上的计算机程序或其他计算机程序(如存储在可移动盘上的程序)的控制下进行操作。例如,计算机程序规定具体处理的时序、气体混合、RF功率水平和其他参数。用户和系统控制器之间的界面经由监视器(如阴极射线管(“CRT”)765)和光笔766,如图7C所示。
图7C图示了与图7A的示例性CVD处理室结合使用的示例性系统用户界面的一部分。系统控制器760包括耦合到计算机可读存储器762的处理器761。优选地存储器762可以是硬盘驱动器,但是存储器762也可以是其他类型的存储器,如ROM、PROM和其他存储器。
系统控制器760在以计算机可读格式存储在存储器762中的计算机程序763的控制下进行操作。计算机程序规定具体处理的时序、温度、气体流、RF功率水平和其他参数。用户和系统控制器之间的界面经由CRT监视器765和光笔766,如图7C所示。在优选实施例中,使用了两个监视器765和765A以及两个光笔766和766A,一个安装在清洗间墙壁上(765)用于操作者,另一个藏在墙壁后(765A)用于服务技术员。两个监视器同时显示同一信息,但是只能使用一个光笔(如766)。为了选择特定屏幕或功能,操作者触摸显示屏上的区域并按下笔上的按钮(未示出)。例如,通过光笔改变其颜色或显示新的菜单来确认被触摸区域被选定。
计算机程序代码可以用任何常规的计算机可读编程语言来写入,如68000装配语言、C、C++或Pascal。合适的程序代码被用常规的文本编辑器输入到单个文件或多个文件中,并存储在或包含在诸如计算机的存储器系统之类的计算机可用介质中。如果输入的代码文本是高级语言,则编译代码,然后将所得到的编译器代码与预编译的windows库程序的目标代码相链接。为了执行链接后的已编译目标代码,系统用户调用目标代码,从而使计算机系统加载存储器内的代码。CPU从存储器读取代码,并执行代码以执行程序中标识出的任务。
图7D示出了计算机程序800的分级控制结构的示例性框图。用户响应于显示在CRT监视器上的菜单或屏幕,利用光笔界面向处理选择器子程序810中输入处理集合号和处理室号。处理集合是执行指定处理所需的处理参数的预定集合,并且由预定义的集合号标识。处理选择器子程序810标识(i)多室系统中的期望处理室,和(ii)操作处理室以执行期望处理所需的处理参数的期望集合。用于执行特定处理的处理参数与诸如处理气体组分和流速率、温度、压强、等离子体条件(如RF功率水平)和室圆顶温度之类的条件有关,并且以配方形式提供给用户。由该配方指定的参数利用光笔/CRT监视器界面输入。
用于监视处理的信号由系统控制器760的模拟和数字输入板提供,并且用于控制处理的信号在系统控制器760的模拟和数字输出板上输出。
处理序列发生器子程序820包括用于从处理选择器子程序810接受所标识的处理室和处理参数集合并控制各个处理室的操作的程序代码。多个用户可以输入处理集合号和处理室号,或者单个用户可以输入多个处理集合号和处理室号;序列发生器子程序820以期望顺序调度所选定的处理。优选地,序列发生器子程序820包括执行下列步骤的程序代码:(i)监视处理室的操作以确定是否正使用该室,(ii)确定在使用的室中正执行哪些处理,和(iii)基于处理室的可用性和要执行的处理类型执行期望的处理。可以使用监视处理室的常规方法,如轮询。当调度要执行哪一个处理时,可以设计序列发生器子程序820使之考虑进每个特定用户输入的请求的“时限”,或与选定处理的期望处理条件相比正使用的处理室的当前条件,或系统程序员希望包括在内确定调度优先级的任何其他相关因素。
在序列发生器子程序820确定了随后要执行哪一个处理室和处理集合的组合后,序列发生器子程序820通过将特定处理集合参数传递到室管理器子程序830A-830C来开始执行处理集合,室管理器子程序830A-830C根据序列发生器子程序820发送的处理集合来控制室713以及(可能)其他室(未示出)中的多个处理任务。
室组件子程序的示例是衬底定位子程序840、处理气体控制子程序850、压强控制子程序860和等离子体控制子程序870。本领域的普通技术人员应当认识到,取决于在室713中要选择执行哪些处理还可以包括其他的室控制子程序。在操作中,室管理器子程序830A根据执行的特定处理集合选择性地调度或调用处理组件子程序。室管理器子程序830A调度处理组件子程序的方式与序列发生器子程序820调度处理室和待执行的处理集合的方式相同。一般来说,室管理器子程序830A包括以下步骤:监视各个室组件,基于要执行的处理集合的处理参数确定需要操作哪些组件,并响应于监视和确定步骤执行室组件子程序。
下面参考图7A和7D描述特定室组件子程序的操作。衬底定位子程序840包括用于控制用来加载衬底到衬底支撑构件718上的室组件的程序代码。衬底定位子程序840也可以控制在其他处理已完成后从多室系统中的例如等离子体增强CVD(“PECVD”)反应器或其他反应器中向室713传递衬底的操作。
处理气体控制子程序850具有用于控制处理气体组分和流速率的程序代码。子程序850控制安全关断阀的打开/关闭位置,还使质量流控制器上升/下降以获得期望的气体流速率。包括处理气体控制子程序850在内的所有室组件子程序都由室管理器子程序830A调用。子程序850从室管理器子程序830A接收与期望的气体流速率有关的处理参数。
一般来说,处理气体控制子程序850打开气体供应管道,并反复地(i)读取必要的质量流控制器,(ii)将读取值与从室管理器子程序830A接收的期望流速率相比较,以及(iii)按需要调整气体供应管道的流速率。此外,处理气体控制器子程序850可以包括以下步骤:监视气体流速率以检测不安全速率,并且当检测到不安全环境时,激活安全关断阀门。
在某些处理中,在引入反应处理气体之前,使诸如氩之类的惰性气体流入室713中以稳定室内的压强。对于这些处理,处理气体控制子程序850被编程为包括以下步骤:使惰性气体流入室713中,流入时间量是稳定室内的压强所必需的时间量。然后可以执行上述步骤。
另外,当要从母体液体(如四乙氧基硅烷,TEOS)中蒸发生成处理气体时,处理气体控制子程序850可以包括以下步骤:使诸如氦之类的传送气体经过起泡器组件中的母体液体中起泡,或者将氦引入到液体喷射阀。对于这类处理,处理气体控制子程序850调节传送气体流、起泡器中的压强和起泡器温度以获得期望的处理气体流速率。如上所述,期望的处理气体流速率被传送到处理气体控制子程序850作为处理参数。
此外,处理气体控制子程序850还包括以下步骤:通过访问包含用于给定处理气体流速率的必需值的存储表,来获得期望的处理气体流速率的必需传送气体流速率、起泡器压强和起泡器温度。一旦获得了必需值,就监视传送气体流速率、起泡器压强和起泡器温度,将其与必需值相比较并因此调整。
处理气体控制子程序850还可以用独立氦控制(IHC)子程序(未示出)来控制经过晶片卡盘中的内和外通道的热传送气体(如氦(He))的流动。气体流将衬底热耦合到卡盘。在一般的处理中,晶片被等离子体和形成层的化学反应加热,而He经由卡盘冷却衬底,这可以是水冷。这使衬底所保持的温度低于可能损坏衬底上的预先已有特征结构的温度。
压强控制子程序860包括用于通过调节室的抽气部分中的节流阀726的开口尺寸来控制室713中的压强的程序代码。有至少两种以节流阀控制室的基本方法。第一种方法依赖于定义室压强的特征,因其与总的处理气体流、处理室的尺寸和排气能力以及其他因素有关。第一种方法将节流阀726设置在固定位置。将节流阀726设置在固定位置可以最终导致稳定状态的压强。
或者,可以例如以压力计来测量室压强,并且可以根据压强控制子程序860来调整节流阀726的位置,假定控制点在气体流和抽气能力所设置的界限内。前一种方法可以导致更快的室压强变化,这是因为没有调用与后一种方法相关联的测量、比较和计算。在不要求室压强的精确控制时可能希望采用前一种方法,而在需要精确的、可重复的且稳定的压强时可能希望采用后一种方法,例如在层的沉积期间。
当调用压强控制子程序860时,从室管理器子程序830A接收期望的或目标压强水平作为参数。压强控制子程序860通过读取连接到室的一个或多个常规压力计来测量室713中的压强;将一个或多个测量值与目标压强相比较;从对应于目标压强的存储压强表中获得比例积分和差分(PID)的值,并根据从压强表中获得的PID值来调整节流阀726。或者,压强控制子程序860可以打开或关闭节流阀726到特定的开口尺寸以将室713中的压强调节到期望的压强或压强范围。
等离子体控制子程序870包括用于控制RF发生器731A和731B的频率和功率输出设置并调谐匹配网络732A和732B的程序代码。与前述的室组件子程序一样,等离子体控制子程序870由室管理器子程序830A调用。
可能结合了上述子系统和程序中的某些或全部的系统示例是ULTIMATM系统,其由California,Santa Clara的应用材料公司制造,被配置来实施本发明。这种系统的另外细节在1996年7月15日提交的列有共同发明人Fred C.Redeker,Farhad Moghadam,Hirogi Hanawa,TetsuyaIshikawa,Dan Maydan,Shijian Li,Brian Lue,Robert Steger,Yaxin Wang,Manus Wong和Ashok Sinha的题为“Symmetric Tunable Inductively-Coupled HDP-CVD Reactor”的共同转让美国专利No.6,170,428中有所公开,这里通过引用并入其公开内容。所述系统仅是用于示例目的。对于本领域技术人员来说,选择常规的衬底处理系统和计算机控制系统来实现本发明将是个程序技术的问题。
本领域技术人员应当认识到,对于不同的处理室和不同的处理条件,处理参数可以有所不同,并且可以在不脱离本发明的精神的前提下使用不同的母体。其他的变化对于本领域技术人员也是很清楚的。这些等同物和替换都包含在本发明的范围内。因此,本发明的范围不应当限于所描述的实施例,而是应当由所附权利要求限定。

Claims (36)

1.一种用于沉积膜以填充衬底表面中的间隙的方法,所述方法包括:
使用第一气体混合物在高密度等离子体化学气相沉积(HDP-CVD)处理中在所述间隙中沉积所述膜的第一部分,所述第一气体混合物包括含硅气体、含氧气体和第一流动气体;
其后,以含氟气体刻蚀所述膜;以及
其后,使用剩余部分气体混合物在HDP-CVD处理中在所述间隙中沉积所述膜的剩余部分,所述剩余部分气体混合物包括含硅气体、含氧气体和第二流动气体,
其中所述第二流动气体的平均分子量比所述第一流动气体小。
2.如权利要求1所述的方法,其中所述第一流动气体包括惰性气体,所述第二流动气体包括H2
3.如权利要求2所述的方法,其中所述第一流动气体包括He。
4.如权利要求3所述的方法,其中所述第一流动气体还包括H2
5.如权利要求4所述的方法,其中所述第一流动气体包括He和Ar。
6.如权利要求2所述的方法,其中所述第一流动气体包括Ar和He的混合物。
7.如权利要求6所述的方法,其中所述Ar和He的混合物包括大于95wt.%的He。
8.如权利要求6所述的方法,其中所述Ar和He的混合物包括大于99wt.%的He。
9.如权利要求1所述的方法,其中刻蚀所述膜的操作包括以刻蚀气体混合物刻蚀所述膜,所述刻蚀气体混合物包括含氟气体、含氧气体、He和Ar。
10.如权利要求1所述的方法,其中刻蚀所述膜的操作包括向所述衬底施加电偏置。
11.如权利要求1所述的方法,其中沉积所述膜的所述第一部分的操作包括以10-30范围内的沉积/溅射比来沉积所述膜的所述第一部分,其中所述沉积/溅射比定义为净沉积速率和均厚溅射速率的和与均厚溅射速率的比。
12.如权利要求1所述的方法,其中沉积所述膜的所述剩余部分的操作包括以4-10范围内的沉积/溅射比来沉积所述膜的所述剩余部分,其中所述沉积/溅射比定义为净沉积速率和均厚溅射速率的和与均厚溅射速率的比。
13.如权利要求1所述的方法,其中沉积所述膜的第一部分的操作包括填充所述间隙深度的35%-85%。
14.如权利要求1所述的方法,其中刻蚀所述膜的操作包括移去所述膜的所述第一部分厚度的5%-15%。
15.如权利要求1所述的方法,还包括在刻蚀所述膜之后沉积所述膜的所述剩余部分之前钝化所述膜。
16.如权利要求15所述的方法,其中钝化所述膜的操作包括将所述膜暴露于氧气环境。
17.如权利要求1所述的方法,还包括使用第二气体混合物以HDP-CVD处理在所述间隙中沉积所述膜的第二部分,所述第二气体混合物包括含硅气体、含氧气体和H2,其中沉积所述第二部分的操作是在沉积所述第一部分之后刻蚀所述膜之前执行的。
18.如权利要求17所述的方法,其中沉积所述膜的第二部分的操作包括以5-15范围内的第二沉积/溅射比来沉积所述膜的所述第二部分,其中所述第二沉积/溅射比定义为第二净沉积速率和第二均厚溅射速率的和与第二均厚溅射速率的比。
19.如权利要求18所述的方法,其中沉积所述膜的所述第一部分的操作包括以10-30范围内的第一沉积/溅射比来沉积所述膜的所述第一部分,其中所述第一沉积/溅射比定义为第一净沉积速率和第一均厚溅射速率的和与第一均厚溅射速率的比。
20.如权利要求17所述的方法,其中沉积所述膜的所述第一部分的操作包括填充所述间隙深度的40%-65%。
21.如权利要求20所述的方法,其中沉积所述膜的所述第二部分的操作包括填充所述间隙深度的另外的20%-45%。
22.如权利要求17所述的方法,其中刻蚀所述膜的操作包括移去所述膜的所述第一部分和第二部分的组合厚度的5%-15%。
23.一种其中存有计算机可读程序的计算机可读存储介质,所述计算机可读程序用于引导衬底处理系统的操作,所述衬底处理系统包括处理室;等离子体生成系统;衬底夹持器;和被配置来将气体引入到所述处理室中的气体传送系统,所述计算机可读程序包括用于操作所述衬底处理系统沉积膜以填充所述处理室中放置的衬底表面中的间隙的指令,所述操作按以下顺序执行:
使用第一气体混合物以高密度等离子体化学气相沉积(HDP-CVD)处理在所述间隙中沉积所述膜的第一部分,所述第一气体混合物包括含硅气体、含氧气体和第一流动气体;
其后,以含氟气体刻蚀所述膜;以及
其后,使用剩余部分气体混合物以HDP-CVD处理在所述间隙中沉积所述膜的剩余部分,所述剩余部分气体混合物包括含硅气体、含氧气体和第二流动气体,
其中所述第二流动气体的平均分子量比所述第一流动气体小。
24.如权利要求23所述的计算机可读存储介质,其中所述第一流动气体包括惰性气体,所述第二流动气体包括H2
25.如权利要求24所述的计算机可读存储介质,其中所述第一流动气体包括He。
26.如权利要求25所述的计算机可读存储介质,其中所述第一流动气体还包括H2
27.如权利要求24所述的计算机可读存储介质,其中所述第一流动气体包括He和Ar的混合物。
28.如权利要求23所述的计算机可读存储介质,其中刻蚀所述膜的操作包括向所述衬底施加电偏置。
29.如权利要求23所述的计算机可读存储介质,其中所述计算机可读程序还包括用于使用第二气体混合物以HDP-CVD处理在所述间隙中沉积所述膜的第二部分的指令,所述第二气体混合物包括含硅气体、含氧气体和H2,其中沉积所述第二部分的操作是在沉积所述第一部分之后刻蚀所述膜之前执行的。
30.一种衬底处理系统,包括:
限定处理室的壳体;
可操作地耦合到所述处理室的高密度等离子体生成系统;
被配置来在衬底处理期间夹持衬底的衬底夹持器;
被配置来将气体引入到所述处理室内的气体传送系统;
用于维持所述处理室内的选定压强的压强控制系统;
用于控制所述高密度等离子体生成系统、所述气体传送系统和所述压强控制系统的控制器;以及
耦合到所述控制器的存储器,所述存储器包括其中存有计算机可读程序的计算机可读介质,所述计算机可读程序用于引导所述衬底处理系统填充所述衬底表面中的间隙的操作,所述计算机可读程序包括:
用于使用第一气体混合物以高密度等离子体化学气相沉积(HDP-CVD)处理在所述间隙中沉积所述膜的第一部分的指令,所述第一气体混合物包括含硅气体、含氧气体和第一流动气体;
用于其后以含氟气体刻蚀所述膜的指令;以及
用于其后使用剩余部分气体混合物以HDP-CVD处理在所述间隙中沉积所述膜的剩余部分的指令,所述剩余部分气体混合物包括含硅气体、含氧气体和第二流动气体。
31.如权利要求30所述的衬底处理系统,其中所述第二流动气体包括H2
32.如权利要求31所述的衬底处理系统,其中所述第一流动气体包括He。
33.如权利要求32所述的衬底处理系统,其中所述第一流动气体还包括H2
34.如权利要求31所述的衬底处理系统,其中所述第一流动气体包括Ar和He的混合物。
35.如权利要求30所述的衬底处理系统,其中用于刻蚀所述膜的指令包括用于向所述衬底施加电偏置的指令。
36.如权利要求30所述的衬底处理系统,其中所述计算机可读程序还包括用于使用第二气体混合物以HDP-CVD处理在所述间隙中沉积所述膜的第二部分的指令,所述第二气体混合物包括含硅气体、含氧气体和H2,其中沉积所述第二部分的操作是在沉积所述第一部分之后刻蚀所述膜之前执行的。
CNB2004800152969A 2003-06-04 2004-06-02 Hdp-cvd多步间隙填充处理 Expired - Fee Related CN100530554C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/456,611 US7205240B2 (en) 2003-06-04 2003-06-04 HDP-CVD multistep gapfill process
US10/456,611 2003-06-04

Publications (2)

Publication Number Publication Date
CN101044598A true CN101044598A (zh) 2007-09-26
CN100530554C CN100530554C (zh) 2009-08-19

Family

ID=33490203

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800152969A Expired - Fee Related CN100530554C (zh) 2003-06-04 2004-06-02 Hdp-cvd多步间隙填充处理

Country Status (5)

Country Link
US (1) US7205240B2 (zh)
KR (1) KR101027265B1 (zh)
CN (1) CN100530554C (zh)
TW (1) TWI290346B (zh)
WO (1) WO2004114366A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459109B (zh) * 2007-12-13 2013-01-23 中芯国际集成电路制造(上海)有限公司 制作浅沟槽隔离结构的方法
CN109346399A (zh) * 2018-10-15 2019-02-15 上海华虹宏力半导体制造有限公司 金属层间介质膜层的形成方法
CN109477212A (zh) * 2016-07-28 2019-03-15 Asm Ip控股有限公司 用于填充间隙的方法和设备
CN111243931A (zh) * 2016-06-30 2020-06-05 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法
CN111799216A (zh) * 2020-06-30 2020-10-20 长江存储科技有限责任公司 填充方法

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7268057B2 (en) * 2005-03-30 2007-09-11 Micron Technology, Inc. Methods of filling openings with oxide, and methods of forming trenched isolation regions
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR100745987B1 (ko) * 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
US20070059900A1 (en) * 2005-09-14 2007-03-15 Chien-Hsing Lai Multi-step depositing process
US7737483B2 (en) 2005-12-06 2010-06-15 Sandisk Corporation Low resistance void-free contacts
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
DE102007025341B4 (de) * 2007-05-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7867921B2 (en) 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US9134987B2 (en) 2009-05-29 2015-09-15 Red Hat, Inc. Retiring target machines by a provisioning server
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102693931A (zh) * 2011-03-23 2012-09-26 中国科学院微电子研究所 一种薄膜填充方法
US8699183B2 (en) 2011-04-22 2014-04-15 Seagate Technology Llc Write pole and shield with different taper angles
US8497211B2 (en) * 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102424954B (zh) * 2011-08-15 2013-10-02 上海华力微电子有限公司 降低高密度等离子体化学气象淀积工艺的颗粒的清洗方案
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102701569B (zh) * 2012-01-12 2015-01-07 上海华力微电子有限公司 改善高密度等离子体化学气相淀积的磷硅玻璃形貌的方法
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101557341B1 (ko) * 2012-09-26 2015-10-06 (주)비엠씨 플라즈마 화학 기상 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8830625B2 (en) 2012-11-29 2014-09-09 Seagate Technology Llc Data writer with tapered side shield sidewalls
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI541868B (zh) * 2013-04-04 2016-07-11 東京威力科創股份有限公司 脈衝氣體電漿摻雜方法及設備
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106328582A (zh) * 2015-07-02 2017-01-11 无锡华润上华科技有限公司 金属层间介质膜层的形成方法及hdpcvd方法
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6693292B2 (ja) * 2016-06-20 2020-05-13 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
JPS61276A (ja) 1984-06-13 1986-01-06 Showa Denko Kk 接着性樹脂組成物
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
KR900005118B1 (ko) 1986-07-14 1990-07-19 미쓰비시전기주식회사 박막 형성장치
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3856483T2 (de) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5468742A (en) * 1991-07-19 1995-11-21 Bayer Aktiengesellschaft 8-vinyl- and 9-ethinyl-quinolone-carboxylic acids
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
GB2267291B (en) 1992-05-27 1995-02-01 Northern Telecom Ltd Plasma deposition process
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
JP3364694B2 (ja) * 1993-12-28 2003-01-08 株式会社アルバック 保護膜の形成方法
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
TW388096B (en) 1996-06-10 2000-04-21 Texas Instruments Inc Integrated circuit insulator and method
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459109B (zh) * 2007-12-13 2013-01-23 中芯国际集成电路制造(上海)有限公司 制作浅沟槽隔离结构的方法
CN111243931A (zh) * 2016-06-30 2020-06-05 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法
CN111243931B (zh) * 2016-06-30 2023-04-11 朗姆研究公司 用于在间隙填充中沉积和蚀刻的装置和方法
CN109477212A (zh) * 2016-07-28 2019-03-15 Asm Ip控股有限公司 用于填充间隙的方法和设备
CN109346399A (zh) * 2018-10-15 2019-02-15 上海华虹宏力半导体制造有限公司 金属层间介质膜层的形成方法
CN109346399B (zh) * 2018-10-15 2021-10-01 上海华虹宏力半导体制造有限公司 金属层间介质膜层的形成方法
CN111799216A (zh) * 2020-06-30 2020-10-20 长江存储科技有限责任公司 填充方法

Also Published As

Publication number Publication date
WO2004114366A2 (en) 2004-12-29
US20040245091A1 (en) 2004-12-09
KR20060023137A (ko) 2006-03-13
CN100530554C (zh) 2009-08-19
KR101027265B1 (ko) 2011-04-06
US7205240B2 (en) 2007-04-17
WO2004114366A3 (en) 2005-12-08
TWI290346B (en) 2007-11-21
TW200509257A (en) 2005-03-01

Similar Documents

Publication Publication Date Title
CN100530554C (zh) Hdp-cvd多步间隙填充处理
US7691753B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
US6335288B1 (en) Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6589868B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP5401309B2 (ja) ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
US7109114B2 (en) HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US6958112B2 (en) Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
US6903031B2 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6704913B2 (en) In situ wafer heat for reduced backside contamination
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US20030024901A1 (en) Method of reducing plasma charge damage for plasma processes
US20110151676A1 (en) Methods of thin film process
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090819

Termination date: 20140602