KR20060023137A - Hdp-cvd 다단계 갭충진 프로세스 - Google Patents

Hdp-cvd 다단계 갭충진 프로세스 Download PDF

Info

Publication number
KR20060023137A
KR20060023137A KR1020057023255A KR20057023255A KR20060023137A KR 20060023137 A KR20060023137 A KR 20060023137A KR 1020057023255 A KR1020057023255 A KR 1020057023255A KR 20057023255 A KR20057023255 A KR 20057023255A KR 20060023137 A KR20060023137 A KR 20060023137A
Authority
KR
South Korea
Prior art keywords
gas
film
deposition
depositing
flowable
Prior art date
Application number
KR1020057023255A
Other languages
English (en)
Other versions
KR101027265B1 (ko
Inventor
쥴 제이. 카림
비크램 카푸우
안췬 왕
동퀸 리
카츄네리 오제키
마노즈 벨라이칼
쳉 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060023137A publication Critical patent/KR20060023137A/ko
Application granted granted Critical
Publication of KR101027265B1 publication Critical patent/KR101027265B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

HDP-CVD 증착, 에칭 및 증착 단계를 반복 사용하는 갭충진 프로세스가 제공된다. 제 1 증착 단계 동안 유동성 가스가 포함되며 He와 같은 불활성 가스가 포함되나 나머지부 증착 단계 동안에는 H2가 포함된다. 제 1 증착 단계 동안 유동성 가스의 보다 높은 평균 분자량은 에칭 단계 동안 구조물을 보호하기 위해 갭을 한정하는 구조물 위에 소정의 커스핑을 제공한다. 나머지부를 증착하는 단계 동안 유동성 가스의 보다 낮은 평균 분자량은 스퍼터링 특성을 감소시키며 갭의 나머지부 충전시 효과적이다.

Description

HDP-CVD 다단계 갭충진 프로세스{HDP-CVD MULTISTEP GAPFILL PROCESS}
본 발명은 HDP-CVD 다단계를 이용하는 갭충진 프로세스에 관한 것이다.
반도체 기술의 개발에서 직면하는 계속적인 문제점중 하나는 기판 상의 회로 소자 및 상호접속부의 밀도를 증가시키는데 있어 이들 사이에 비적절한 상호작용을 없게 하는 것이다. 전형적으로 원치않는 상호작용은 물리적 및 전기적으로 소자들을 절연시키기 위해 전기적 절연 물질로 충진되는 갭 또는 트렌치를 제공함으로써 방지된다. 그러나 회로 밀도가 증가함에 따라, 이들 갭의 폭은 감소되고, 이들의 종횡비(aspect ratio)는 증가되어 보이드를 남기지 않고 갭을 충진시키는 것이 점진적으로 어려워지고 있다. 갭이 완벽하게 충진되지 않는 보이드의 형성은 바람직하지 못하며, 이는 상기 보이드들이 절연 물질 내에서 불순물들의 트랩핑에 의해 완성된 디바이스의 동작에 악영향을 미칠 수 있기 때문이다.
이러한 갭충진 분야에 사용되는 공통 기술로는 화학적-기상 증착(CVD) 기술이 있다. 종래의 열적 CVD 프로세스는 원하는 막을 형성하기 위해 열-유도 화학 반응이 발생되는 기판 표면에 반응 가스를 공급한다. 플라즈마-강화 CVD(PECVD) 기술은 기판 표면 부근의 반응 영역에 무선-주파수(RF) 에너지를 인가하여 플라즈마를 형성함으로써 반응 가스의 여기 및/또는 분해를 촉진시킨다. 플라즈마에서 종들(species)의 높은 반응성은 화학 반응이 이루어지기 위해 요구되는 에너지를 감소시켜, 종래의 열적 CVD 프로세스와 비교할 때 상기 CVD 프로세스에서 요구되는 온도는 낮다. 이러한 장점은 플라즈마 종이 보다 더 균일하게 반응하도록 낮은 진공 압력에서 조밀한 플라즈마가 형성되는 고밀도-플라즈마(HDP) CVD 기술에 의해서도 달성될 수 있다. 이들 각각의 기술들이 "CVD 기술"이라는 이름하에 광범위하게 표현하였지만, 이들 각각은 어떤 특정 분야에 보다 적합하게 또는 덜 적합하게 하는 특징적인 성질을 갖는다.
큰 종횡비 및 좁은 폭을 갖는 소정의 경우에, 순차적으로 물질을 증착하고, 상기 물질의 일부를 다시 에칭하고, 추가적으로 물질을 증착함으로써 "증착/에칭/증착(dep/etch/dep)" 프로세스를 사용하는 열적 CVD 기술로 갭이 충진된다. 에칭 단계는 부분적으로 충진된 갭을 재형상화시켜 이를 개방시키는 역활을 하여 갭이 밀폐되어 내부에 보이드를 남기기 이전에 물질이 보다 증착될 수 있다. 이러한 증착/에칭/증착 프로세스는 PECVD 기술로 사용되나, 일부 열적 및 PECVD 기술은 증착 및 에칭 단계를 반복하더라도 매우 큰 종횡비를 가지는 갭은 여전히 충진시킬 수 없다.
통상적으로 증착 및 에칭 단계의 반복은 HDP-CVD 프로세스와 관련하여 무익한 것으로 당업자들에 의해 평가되었다. 이러한 평가는 PECVD 프로세스와 달리, HDP-CVD 프로세스 동안 플라즈마내의 이온 종의 높은 밀도는 막이 증착되는 동안에도 막의 스퍼터링을 야기시킨다는 사실로 인한 것이었다. 증착 프로세스 동안 물질의 동시적인 스퍼터링 및 증착은 증착과정 동안 갭 구멍을 유지하는 경향이 있 어, 불필요한 별도의 중간 에칭 단계를 수행하게 한다. 이러한 일반적인 관점은 매우 높은 종횡비의 갭은 PECVD 증착/에칭/증착 프로세스를 이용하여 충진될 수 있다는 것 보다 HDP-CVD 프로세스를 이용하여 충진될 수 있다는 점에서 부분적로 정확한 것으로 증명된다. 그럼에도 불구하고, 켄트 로스만에 의해 1998년 3월 20일자로 출원된 US 특허 번호 6,194,038호에서는, 소정의 HDP-CVD 프로세스 조건하에서 증착/에칭/증착 프로세스를 사용함으로써 보다 개선된 갭충진이 이루어질 수 있다는 예상치 못한 결과가 밝혀졌다. 이러한 결과는 조지 디. 파파솔리오티스 등에 의해 1998년 5월 5일 출원된 US 특허 번호 6,030,181호에서 확인된다.
HDP-CVD 증착/에칭/증착 프로세스의 사용은 높은 종횡비를 가지는 갭 충진을 바람직하게 허용하지만, 이들의 사용 범주에는 제한이 따른다. 디바이스 부품들이 보다 조밀하게 패키지되는 추세에 따라, 증가하는 갭 종횡비를 수용하도록 HDP-CVD 증착/에칭/증착 프로세스를 개선시키는 것이 바람직하다.
본 발명의 실시예들은 증착/에칭/증착 프로세스를 제공하며, 상기 프로세스는 갭을 제 1 HDP-CVD 증착 단계로 충진하고 이어서 에칭 단계를 수행하고 나머지부(remainder)의 HDP-CVD 증착 단계를 수행한다. 각각의 증착 단계에서 사용되는 전구체 가스들은 유사하며 기판 표면에 있는 갭을 충진시키기 위한 실리콘 산화물막을 증착하기 위해 실리콘-함유 가스 및 산소-함유 가스를 포함한다. 나머지부의 증착과정 동안 유동성(fluent) 가스는 제 1 증착 단계 동안 유동성 가스 보다 낮은 평균 분자량을 갖는다. 예를 들어, 제 1 증착 단계 동안 유동성 가스는 불활성 가스를 포함하며 나머지부의 증착 단계 동안 H2를 포함한다. 제 1 증착 단계 동안 유동성 가스의 높은 평균 분자량은 에칭 단계 동안 이들을 보호하기 위해 갭을 한정하는 구조물 위에 소정의 커스핑(cusping)을 제공한다. 나머지부의 증착 단계 동안 유동성 가스의 낮은 평균 분자량은 스퍼터링 특성을 감소시켜 갭의 나머지 부분 충진시 효과적이다.
본 발명의 상이한 실시예에서 제 1 증착 단계 동안 사용될 수 있는 상이한 유동성 가스의 변형이 제공된다. 예를 들어, 일 실시예에서, 유동성 가스는 He를 포함한다. 또다른 실시예에서는 He와 H2 혼합물을 포함한다. 또다른 실시예에서, Ar 및 He의 혼합물과 같은 2개의 불활성 가스의 혼합물을 포함한다. 이러한 혼합물에서 He의 양(fraction)은 일 실시예에서 95wt% He 보다 크며 다른 실시예에서는 99wt% He 보다 크다.
또한, 인슈트 에칭 단계 또는 원격 에칭 단계중 하나를 수행하는데 사용될 수 있는 상이한 에천트 가스의 변형이 제공된다. 본 발명의 실시예에서, 불소-함유 가스, 산소-함유 가스, He 및 Ar을 포함하는 에칭 가스 혼합물을 사용함으로써 에칭을 수행하는데 불소-함유 가스가 사용된다. 또한 에칭 단계 동안 전기적 바이어스가 인가될 수 있다. 소정의 경우, 에칭 단계 이후에 O2와 같은 패시베이팅 가스에 노출됨으로써 막이 패시베이팅될 수 있다.
제 1 증착 단계는 갭 깊이의 35% 내지 85% 사이를 충진하는데 사용될 수 있고 에칭 단계는 상기 증착된 두께의 양을 5% 내지 15% 제거한다. 증착 단계들 동안 프로세스 파라미터는 순수 증착비와 블랭킷(blanket) 스퍼터링비의 합 대 블랭킷 스퍼터링비의 비율로 정의되는 증착/스퍼터 비에 의해 특징화된다. 제 1 증착 단계 동안, 10-30 범위내의 증착/스퍼터링 비율이 적합하다. 나머지부의 증착 단계를 위해, 4-10 범위내의 증착/스퍼터링 비율이 적합하다.
소정의 실시예에서, 제 1 증착 단계 이후 및 유동성 가스로서 H2를 사용하는 에칭 단계 이전에 추가의 증착 단계가 수행될 수 있다. 이러한 실시예는 H2를 사용하는 경우의 갭충진 능력보다 우수한 장점을 수반하나, 기판 구조물 위에 보호 물질을 제공하기 위해 불활성 가스를 사용하는 제 1 증착을 계속사용한다. 이러한 증착 단계에 적합한 증착/스퍼터링 비율은 5-15 범위 이내이다. 이 경우, 제 1 증착은 갭 깊이의 40% 내지 65% 사이를 충진하는데 사용되며, 제 2 증착은 추가적으로 갭 깊이를 20%-45% 충진하는데 사용된다.
본 발명의 방법은 기판 처리 시스템의 작동을 지시하기 위해 내부에 컴퓨터-판독가능 프로그램이 내장된 컴퓨터-판독가능 저장 매체에서 구현된다. 이러한 시스템은 프로세스 챔버, 기판 홀더, 압력-제어 시스템, 및 가스-분산 시스템을 포함한다. 컴퓨터-판독가능 프로그램은 본 발명의 실시예에 따라 막을 증착하기 위해 기판 프로세싱 시스템을 작동시키는 명령을 포함한다.
본 발명의 성능 및 장점들은 하기의 상세한 설명 및 도면을 참조로 이해될 수 있을 것이다.
도 1a 및 1b는 갭충진 프로세스 동안 보이드의 형성을 나타내는 개략적 단면도;
도 2는 본 발명의 일 실시예에서 갭을 충진시키기 위해 막을 증착하는 방법을 나타내는 흐름도;
도 3은 본 발명의 실시예에 따라 증착/에칭/증착 프로세스를 이용하여 높은 종횡비 피쳐가 어떻게 충진되는지를 나타내는 개략적 단면도;
도 4는 본 발명의 또다른 실시예에서 갭을 충진시키기 위해 막을 증착한느 방법을 나타내는 흐름도;
도 5a 및 도 5b는 상이한 HDP-CVD 증착/에칭/증착 프로세스의 갭충진 특성을 비교하는 주사-전자-현미경 이미지;
도 6은 본 발명의 또다른 실시예에서 갭을 충진시키기 위해 막을 증착하는 방법을 나타내는 흐름도;
도 7a는 본 발명에 따라 고밀도-플라즈마 화학적 기상 증착 시스템의 일실시예의 간략화된 도면;
도 7b는 도 7a의 예시적인 CVD 프로세싱 챔버와 결합하여 사용될 수 있는 가스 링의 간략화된 단면도;
도 7c는 도 7a의 예시적인 CVD 프로세싱 챔버와 결합하여 사용될 수 있는 모니터 및 광펜의 간략화된 단면도; 및
도 7d는 도 7a의 예시적인 CVD 프로세싱 챔버를 제어하는데 사용되는 예시적인 프로세스 제어 컴퓨터 프로그램 제품의 흐름도.
1. 개요
본 발명의 실시예들은 기판 표면에 있는 갭을 충진시키기 위해 막을 증착하는 방법 및 장치에 관한 것이다. 주어진 폭의 갭에 대해, 이들 실시예는 다단계의 증착 및 에칭 프로세스를 이용하며 프로세스의 상이한 부분들에서 HDP-CVD 기술의 상이한 스퍼터링 특성을 이용한다. 이러한 상이한 스퍼터링 특성은 스퍼터링 약품(agent)을 제공하기 위해 상이한 유동성 가스를 사용하고 상이한 증착-대-스퍼터링 비율을 달성하기 위해 프로세스 가스의 유속을 조절함으로써 달성된다. 증착 및 에칭 단계의 반복(cycling) 및 스퍼터링 특성의 변화(variation)에 의해 제공되는 효과의 조합은 높은 종횡비를 가지는 갭을 실질적으로 보이드 없이 충진하도록 상승작용으로 조합된다. 특히, 본 발명의 실시예들은 100nm 기술(0.10㎛) 및 적어도 65nm 기술(0.065㎛)에서 갭을 충진시키는데 사용될 수 있다. 본 명세서에서 개시된 실시예들은 예를 들어, 얕은-트렌치-절연 분야를 포함하는 상이한 갭충진 분야들의 변형에 적합하다.
본 발명의 실시예에 의해 지정되는 갭충진 문제는 도 1a 및 1b에 도시된 단면도에 개략적으로 도시된다. 도 1a는 기판의 수직 단면도를 나타내며, 피쳐(120) 층을 가지는 반도체 기판이 제공될 수 있다. 인접한 피쳐는 유전체 물질(118)로 충진된 갭(114)을 한정하며, 갭의 측벽들(116)은 피쳐(120)의 표면에 의해 한정된다. 증착 과정으로서, 유전체 물질(118)이 피쳐(120)의 표면 및 기판(110)상에 축적되어 피쳐(120)의 코너(124)에 오버행들(122)이 형성된다. 유전체 물질(118)의 증착이 지속됨에 따라, 통상적으로 오버행들(122)은 특징적인 빵덩어리(breadloafing) 형태로 갭(114) 보다 빠르게 성장한다. 결국, 오버행들(122)은 내부 보이드(128)로의 증착을 방해하면서 도 1b에 도시된 유전체층(126)을 형성하도록 결합된다.
2. 증착 파라미터들
본 명세서에 개시된 증착 프로세스는 내부 보이드 형성을 방지하는 개선된 갭충진 능력을 갖는다. HDP-CVD 증착 프로세스는 프로세스 챔버에 가스 혼합물을 제공함으로써, 이를 테면 하기에 설명되는 증착 시스템을 사용함으로써, 작동한다. 고밀도 플라즈마는 가스 혼합물로부터 발생되며, "고밀도"는 약 1011 이온/cm3 이상의 이온 밀도를 갖는 플라즈마로 특징화된다. 고밀도 플라즈마의 조합된 증착 침 스퍼터링 특성의 상대 레벨은 가스 혼합물을 제공하는데 사용되는 유속, 플라즈마를 유지하기 위해 인가되는 소스 전력 레벨, 기판에 인가되는 바이어스 번력 등과 같은 요인에 따라 좌우된다. 이러한 요인들의 조합은 통상 "증착/스퍼터 비율"로 정의되며, 이는 때로 프로세스를 특성화시키기 위해 D/S로도 표시된다 :
증착/스퍼터링 비는 증착 증가에 따라 증가되며 스퍼터링 증가에 따라 감소한다. D/S의 정의에 사용되는 것처럼, "순수 질량 비(net deposition rate)"는 증착 및 스퍼터링이 동시적으로 발생하는 경우 측정되는 증착비로 간주된다. "블랭킷 스터퍼링 비(blanket sputter rate)"는 프로세스 레시피가 증착 가스 없이 이루어지는 경우 측정된 스퍼터링비로 간주되며; 프로세스 챔버 내부 압력은 증착 과정동안의 압력 및 블랭킷 열산화물상에서 측정된 스퍼터링비에 따라 조절된다.
또다른 등가의 방법이 당업자에게 공지된 바와 같이, HDP 프로세스의 관련 증착 및 스퍼터링 기여도를 측정하기 위해 사용될 수 있다. 공통되는 선택적 비율로는 "에칭/증착 비율", 즉,
Figure 112005070693318-PCT00002
이 있고, 이는 스퍼터링이 증가함에 따라 증가되고 증착이 증가됨에 따라 감소된다. E/D의 정의에서 사용되는 바와 같이, "순수 증착비"는 증착 및 스퍼터링이 동시적으로 발생하는 경우 측정된 증착비로 간주된다. 그러나, "소스만의 증착비(source-only deposition rate)"는 증착 레시피가 스퍼터링 없이 이루어지는 경우 측정된 증착비로 간주된다. 본 발명의 실시예는 D/S 비율과 관련하여 설명된다. D/S 및 E/D가 정확히 대응하는 것은 아니지만, 이들은 반비례 관계이며 이들 사이의 전환을 당업자는 인식할 수 있을 것이다.
HDP-CVD 프로세스에서 주어진 단계에 대해 요구되는 D/S 비율은 스퍼터링 약품(agent)으로서 작용할 수 있는 유동성 약품의 흐름 및 전구체 가스의 흐름을 포함함으로써 달성된다. 전구체 가스에 의해 포함되는 원소들이 원하는 조성물을 갖 는 막을 형성하도록 반응한다. 예를 들어, 실리콘 산화물막을 증착하기 위해, 전가체 가스는 실란(SiH4)과 같은 실리콘-함유 가스, 및 O2와 같은 산소-함유 가스를 포함한다. 원하는 도펀트를 갖는 전구체 가스를 포함시킴으로써, 이를 테면 막을 불소화시키기 위해 SiF4의 흐름을 포함시킴으로써, 막에 인산화시키기 위해서 PH3의 흐름을 포함시킴으로써, 막을 붕소화시키기 위해 B2H6의 흐름을 포함시킴으로써, 막을 질소화시키기 위해 N2의 흐름을 포함시킴으로써, 막에 도펀트가 첨가될 수 있다. 유동성 가스에는 H2의 흐름 또는 He의 흐름, Ne의 흐름, Ar의 흐름, 또는 Xe와 같은 중량의 불활성 가스의 흐름을 포함하는 불활성 가스의 흐름이 제공된다. 상이한 유동성 가스에 의해 제공되는 스퍼터링 레벨은 He 보다 스퍼터링이 덜 되게 하는 H2와 함께, 이들의 원자 질량(또는 H2의 경우에 분자 질량)과 반비례 관계에 있다. 하기에 보다 상세히 설명되는 본 발명의 소정 실시예에서, 스퍼터링 약품에는 이들 가스들의 적어도 2개의 예비혼합물이 제공된다.
본 발명의 실시예들은 HDP-CVD 프로세스에서 상이한 유동성-가스 흐름을 갖는 적어도 2개의 증착 단계를 이용한다. 특정 예에서, 제 2 증착 단계는 제 1 증착 단계에서 사용되는 유동성 가스보다 더 낮은 평균 분자 질량을 갖는 유동성 가스를 사용하여 수행된다. 소정의 실시예에서, 2개의 증착 단계는 에칭 단계에 의해 구별되나, 다른 실시예에서, 2개의 증착 단계는 에칭 단계 및 또다른 증착 단계를 수반한다. 상이한 단계들은 단일 챔버에서 인슈트(in situ)로 수행될 수 있고, 멀티챔버 시스템에서 인슈틀 수행될 수 있고, 또는 상이한 실시예에서 액스 슈트(ex situ)로 수행될 수도 있다. 또한, 실시예들은 단일의 증착/에칭/증착 주기와 관련하여 설명하였지만, 증착/에칭/증착/에칭/증착 주기와 같은 다수의 주기를 사용하여 또는 주기 반복을 보다 연장함으로써 보다 적극적인(aggressive) 갭충진이 달성될 수 있다.
2개의 증착 단계 시퀀스는 제 1 증착 단계가 유동성 가스로서 He를 사용하여 수행되고 제 2 증착 단계가 유동성 가스로서 H2 를 사용하여 수행되는, 도 2에 도시된 흐름도에 도시된다. 블록(204)에서, 실리콘 산화물막의 제 1 부분을 증착하기에 적하한 제 1 가스 혼합물이 프로세스 챔버에 제공된다. 제 1 가스 혼합물의 전구체 가스는 SiH4와 같은 Si-함유 가스 및 O2와 같은 산소-함유 가스 및 He를 포함하는 제 1 가스 혼합물의 유동성 가스를 포함한다. 소정의 실시예에서, 유동성 가스는 He의 예비혼합물 및 Ar과 같은 다른 불활성 가스를 포함한다. 다른 불활성 가스의 첨가는 He를 단독으로 사용할 때 보다 나은 증착 균일성을 제공하며 다른 불활성 가스의 소스와 비교할 때 He 소스가 상대적으로 고가이기 때문에 상당한 비용 절가을 달성할 수 있다. 이러한 장점은 예비혼합물에서 사용되는 He의 양이 다른 불활성 가스의 양 보다 상당히 큰 경우에더 달성될 수 있다. 예를 들어, 일 실시예에서, 예비혼합물은 95 wt.% 이상의 He를 포함하며 다른 실시예에서는 99wt.% 이상의 He를 포함한다.
블록(208)에서, 막의 제 1 부분이 블럭(216)에서 증착될 수 있도록 제 1 가 스 혼합물로부터 플라즈마가 발생한다. 일 실시예에서, 막의 제 1 부분의 증착은 10 내지 30 범위의 D/S 비율을 갖는다. 막의 제 1 부분이 증착된 후에, 제 2 가스 혼합물이 프로세스 챔버에 제공된다. 제 2 가스 혼합물의 전구체 가스는 Si-함유 가스 및 O-함유 가스를 포함하며, 제 1 가스 혼합물을 제공하는데 사용되는 전구체 가스와 통상적으로 동일하다. 가스 혼합물의 유동성 가스는 H2를 포함한다. 블록(224)에서, 막의 제 2 부분은 제 2 가스 혼합물을 사용하여 증착된다. 연속적인 프로세스가 이용되는 경우, 제 2 가스 혼합물로부터 제 2 플라즈마를 발생시키는 것이 특정하게 필요한 것은 아니지만, 다른 실시예에서 제 2 플라즈마가 발생될 수 있다. 또한, 제 2 부분의 증착에 적합한 D/S 비율은 완벽한 프로세스에 사용되는 다른 면들을 따른다. 예를 들어, 2개의 증착이 중간 에칭 단계없이 수행되는 경우, 제 2 증착을 위한 D/S 비율은 일 실시예에서 3 내지 10 사이일 수 있다. 제 2 증착이 에칭 단계에 의해 수반되는 프로세스에서는 갭의 부분적 충진이 바람직하다; 이러한 프로세의 예가 하기에 보다 상세히 설명된다. 2개의 증착이 중간 에칭 단계에 의해 구별되는 경우, D/S 비율은 4 내지 10일 수 있다; 이러한 프로세스의 예들이 하기에 보다 상세히 설명된다.
3. 증착/에칭/증착 프로세스들
증착/에칭/증착 프로세스의 일부로서 상기 설명된 2개의 증착 단계들을 사용하는 본 발명의 실시예에서, 에칭 단계 동안 하부에 놓인 구조물을 손상시키지 않 도록 주의해야 한다. 이는 하부에 놓인 구조물을 보호하기 위해 제 1 증착 단계 동안 충분한 물질이 증착되고 에칭 단계 동안 에칭 조건이 구조물이 노출되게 너무 많은 물질을 에칭하지 않게 하도록 확보하는 단계를 포함하는 조합 작용으로 달성된다. 이러한 조합 작용을 달성하기 위해 사용되는 프로세스 파라미터로부터 야기되는 패턴들이 도 3에 개략적으로 도시된다.
초기 기판 구조물(301)이 유전체 물질로 충진될 피쳐(300)를 포함하도록 개략적으로 도시된다. 제 1 증착을 위한 프로세스 조건은, 중간 구조물(302)에 도시된 것처럼, 유전체 물질이 측벽들 위에 보다 아래에 놓인 구조물의 코너 부근에서 보다 두껍게 증착되는 상당한 커스프(cusp)(308) 형성을 야기시킨다. 구조물(302)은 유동성 가스로서 제 1 불활성 가스를 사용하여 단일 증착으로부터 야기되거나 또는 보다 낮은 평균 분자 질량을 갖는 유동성 가스를 사용하여 제 2 증착이 수행되는 2단계 증착 프로세스로부터 야기될 수 있다. 커스프 피쳐는 구조물(303)에서 야기되는 순차적인 에칭 단계에 동안 보호된다. 반응성 에칭 동안 바이어스를 인가함으로써, 이방성 에칭을 수행하는 것은 오리지널 피쳐(300)의 기본 형상이, 덜 엄격하게, 하부에 놓인 구조물이 노출되지 않게 유지되는 코너를 유지하도록 증착된 층(310)의 형상화를 돕는다. 최종 증착이 수행되는 경우, 피쳐(300)는 구조물(304)에 개략적으로 도시된 것처럼, 유전체 물질(312)로 완전히 충진될 수 있다.
도 4는 상기 개시된 제 1 및 제 2 증착 단계들이 에칭 단계에 의해 구별되는 증착/에칭/증착 프로세스에 대한 예시적인 실시예의 흐름도를 제공한다. 제 1 증착은 블럭(404)에서 수생되며 이는 도 2의 블럭(216)에 대응하며, 가스 혼합물은 SiH4와 같은 Si-함유 가스, O2와 같은 산소-함유 가스, 및 유동성 가스로서 He를 포함한다. 이러한 증착 단계 동안 유동성 가스로서 He 사용은 종 퀴앙 하아 등에 의해 2002년 4월 30일자로 출원된 "높은 종횡비의 HDP CVD 갭충진을 위한 방법 "이란 명칭으로 공동계류중이며 공동 양도된 US 특허 출원 NO. 10/137,132호에 개시되며, 이는 본 명세서에서 참조된다. 소정의 경우, 상기 개시된 이유로 가스 혼합물에 추가의 가스가 포함될 수 있다, 이를 테면 증착되는 실리콘 산화물막에 특정 도펀트를 첨가시키기 위해 추가의 전구체 가스를 포함시키거나 비용 감소 및/또는 증착 균일성을 개선시키기 위해 유동성 가스로서 추가의 불활성 가스를 포함시킬 수 있다. 특정 실시예에서, 유동성 가스에는 95wt.% 이상의 He 또는 99wt.% 이상의 He와 같은 He 및 Ar의 예비혼합물의 흐름, 또는 He와 H2의 예비혼합물의 흐름이 제공된다. 이러한 제 1 증착에 적합한 D/S 비율 범위는 10-30이며, 이는 도 3과 관련하여 설명된 것처럼 하부에 놓인 구조물을 보호하는 충분한 커스핑을 형성한다. 제 1 증착은 갭 깊이의 35% 내지 85%를 증착하는데 사용된다.
제 1 증착은 블록(408)에서 에칭 단계를 수반하며, 이는 인슈트로 또는 원격적으로 수행될 수 있다. 소정의 예에서, 에칭 단계는 약 250℃ 이하로 기판의 온도를 낮추기 위한 냉각 단계를 선행하여 보다 나은 에칭 제어를 제공한다. 이러한 냉각은 특히 예를 들어, 기판 뒷면을 He로 냉각함으로써 달성된다. 소정의 인슈트 실시예에서, NF3와 같은 불소-함유 가스가 프로세스 챔버로 주입된다. 특정 실시예에서, 에칭 단계는 NF3, O2, He 및 Ar을 포함하는 가스 혼합물로 수행된다. 증착된 실리콘 산화물막의 약 5-15% 두께는 에칭 단계 동안 제거되지만, 제거된 양은 막의 프로파일에 대응하는 상이한 포인트에서 변할 수 있다.
에칭 단계(408)는 이온 종을 끌어당기기 위해 기판에 전기적 바이어스를 제공함으로써 이방성으로 수행될 수 있다. 불소 이온에 의해 제공되는 화학적 에칭 메커니즘은 갭을 다시 개방시키는 작용을 하기 때문에, 이방성 에칭의 포함은 프로세스의 효율을 크게 증가시킬 수 있다. 200 mm 웨이퍼 기판에 대해 적절한 이방성 에칭 성분을 산출하기 위한 충분한 바이어스 전력은 약 300W 이며 300mm 웨이퍼 기판에 대해서는 약 650W 이며, 이는 약 0.9W/cm2의 바이어스 전력 밀도에 해당한다. 바이어스 전력에 대한 상한치(upper limit)는 200mm 웨이퍼 기판에 대해 약 1000W이고 300mm 웨이퍼 기판에 대해서는 약 2500W이며, 이는 물리적 포인트 스퍼터링이 중요시되는 약 3.2W/cm2의 바이어스 전력 밀도에 해당한다. 일 실시예에서, 바이어스 전력 밀도의 범위는 0.9-1.6W/cm2이며, 이는 200mm 웨이퍼 기판에 대해서는 약 300-500W 그리고 300mm 웨이퍼 기판에 대해서는 약 650-1200W에 해당한다. 소정 실시예에서, 에칭 단계는 제 1 물리적 에칭 단계 및 순차적인 화학적 에칭 단계를 포함하는 다단계 에칭 프로세스를 포함할 수 있으며, 이는 공동계류중이며 공동 양도되었으며 파한 알메드 등에 의해 "높은 종횡비 피쳐에 갭충진을 위한 고밀도 플라자마 CVD 프로세스"란 명칭으로 2002년 10월 23일자로 출원된 미국 특허 출원 번호 10/279,961호에 개시되어 있으며, 이는 본 명세서에서 참조된다.
일부 실시예에서, 에칭된 막의 표면은 다음 증착 단계 이전에 블록(411)에서 패시베이팅되어, 에칭 단계로 인해 막에 통합되는 불소를 제거한다. 일 실시예에서, 막 표면은 임의의 불소 원자를 제거하기 위해 막 표면과 화학적으로 반응하도록 선택된 패시베이션 가스에 기판을 노출시킴으로써 패시베이팅된다. 적절한 패시베이팅 가스는 분자형 산소 O2, 오존 O3, 아산화질소 N2O, 및 전술것과 임의적으로 조합된 분자형 질소 N2를 포함한다. 에칭 단계 이후 막을 패시베이팅하기 위해 사용될 수 있는 또다른 기술에 대한 상세한 설명은 동큉 리 등에 의해 2002년 5월 3일자로 출원된 "높은 종횡비 피쳐에 개선된 증착을 위한 HDP-CVD 증착/에칭/증착 프로세스"란 명칭의 공동계류중이고 공동 양도된 US 특허 출원 번호 10/138,189호에 개시되어 있으며, 이는 본 명세서에서 참조된다.
다음 증착 단계는 블록(412)에 표시되며, 본 실시예에서는 도 2의 블록(224)에 해당한다. 증착은 2개의 증착이 에칭 단계 이전에 수행되는 도 6을 참조로 하기에 설명된 실시예와의 용어 균일성을 위해 "나머지 부분"을 증착하는 것으로 설명된다. 이 경우, 막의 나머지 부분의 증착은 SiH4와 같은 Si-함유 가스, O2와 같은 산소-함유 가스, 및 유동성 가스로서 H2를 포함하는 나머지부 가스 혼합물을 사용하여 수행된다. 실리콘 산화물막이 도핑되는 경우, 가스 혼합물은 막에 통합되는 도펀트를 제공하도록 다른 전구체 가스를 추가로 포함할 수 있다. 나머지부의 증착에 대한 적절한 D/S 비율의 범위는 4-10이며, 본 발명자는 H2가 유동성 가스로서 사용되는 경우 상당한 재층착 없이 갭이 충진될 수 있어 보이드 형성없이 갭의 나머지부를 충진할 수 있다는 것을 발견했다. 특정 실시예에서, 500 내지 1000sccm 사이의 유속으로 프로세스 챔버에 H2가 제공되며, 상기 프로세스 챔버는 소정의 실시예에서 8 내지 30 mtorr 사이의 압력으로 유지된다. 소정의 어플리케이션은 완전한 개방 위치에서 트로틀 밸브(배기 포어라인으로의 밸브)를 가지는 챔버를 작동시켜며, 이러한 동작을 하는 동안 챔버내 압력은 챔버로 가스가 주입되는 속도 및 진공 펌프(들)의 펌핑 용량성에 의해 제어된다. 감소된 챔버 압력 레벨에서, 분해된 종들은 보다 긴 평균 자유 경로를 가지며 각진 분산을 감소시켜, 상기 종들이 트렌치 하부에 도달하여 화학 반응이 이루어진다.
본 발명자는 도 4와 관련하여 설명된 방법의 갭충진 능력을 평가하기 위한 시험들을 수행하였으며 이들 실험의 결과를 도 5a 및 도 5b에 도시하였다. 상기 결과는 H2가 나머지부의 증착을 위한 유동성 가스로서 사용되는 경우에 대한 임계도(criticality)를 나타내며 He 또는 Ar과 같은 중량의 불활성 가스가 제 1 증착을 위해 유동성 가스에 의해 포함된다. 이들 2개의 도면 각각은 유전체 물질이 증착되는 다수의 갭을 가지는 기판의 SEM 단면도를 제공한다. 각각의 경우, HDP 증착/에칭/증착 프로세스는 바이어스된 NF3 에칭 플라즈마 에칭에 의해 분리되는 제 1 증착 및 나머지부 증착 단계 동안 전구체 가스로서 SiH4 및 O2를 사용한다. 도 5a의 결과는 제 1 및 나머지부 증착 모두에 대해 유동성 가스로서 He가 사용되는 프로세스로 인한 것이며, 도 5b의 결과는 제 1 증착을 위한 유동성 가스로서 He를 사용하 고 나머지부 증착을 위한 유동성 가스로서 H2를 사용하는 도 4와 관련하여 개시된 프로세스로 인한 것이다. 도 5a는 기판(504) 위에 형성된 구조물들(508) 사이의 갭(512)에 증착된 실리콘산화물에서 보이드가 명백히 드러나는 결과 구조물(500)을 나타낸다. 반대로, 이러한 보이드는 기판(504') 위에 형성된 대응하는 구조물(508') 사이의 대응하는 갭(512')에 증착된 실리콘 산화물에서 도 5b에 도시된 결과 구조물(500')에서는 명백하지 않다.
본 발명의 실시예에 의해 양호한 갭충진 특성이 달성되는 메커니즘은 모든 증착 단계에서의 유동성 가스로서 배타적인 H2의 사용은 소정의 어플리케이션에서 에칭 단계 동안 하부에 놓인 구조물에 손상을 야기시킨다는 것을 주목함으로써 이해될 수 있다. 양호한 갭충진 특성이 유동성 가스로서 H2 가스를 사용하여 달성되는 동안, 갭의 측면상에는 약간의 물질이 증착되어 에칭 단계(phase) 동안 구조물은 별로 보호되지 않는다. 제 1 에칭 동안 He 또는 불활성 가스의 조합의 사용은 측벽상에 소정의 보호 물질을 제공하여 H2의 사용은 개선된 갭충진을 달성한다. 제 1 증착 동안 불활성 가스와 함께 H2를 포함하는 실시예는 부가적으로 증착을 개선시키며, 사용되는 H2 양(fraction)은 이 단계의 보호 특성(aspect)을 없앨 정도로 높지 않다.
제 1 증착에서 유동성 가스로서 불활성 가스와 H2의 혼합물의 선택적 사용은 갭충진을 완성하기 위해 추가의 증착이 이어지는 제 1 2번의 증착 후 에칭 단계를 수행하기 위한 것이다. 이는 도 6의 흐름도를 참조로 도시된 실시예에 도시되며, 기판이 조밀하게 패키지된 영역 및 개방 영역을 갖는 경우 특히 유용한데, 이는 상기 구조물은 에칭 단계 동안 개방 영역에서 하부에 놓인 구조물에 대한 손상 위험성이 증가되기 때문이다. 제 1 증착 단계는 SiH4와 같은 Si-함유 가스, O2와 같은 산소-함유 가스 및 유동성 가스로서 He를 포함하는 가스 혼합물을 사용하여 블럭(604)에서 수행된다. 소정의 경우, 비용 감소 및/또는 증착 균일성 개선을 위해 가스 혼합물에 추가의 가스를 포함시킴으로써, 또는 유동성 가스에 부가적인 불활성 가스를 포함시킴으로써 증착된 실리콘 산화물층을 도핑하기 위해 가스 혼합물에 추가의 가스가 포함될 수 있다. 다른 실시예에 대해, 예를 들어 95wt.% 이상의 He 또는 99wt.% 이상의 He와 같이, He 또는 Ar의 예비혼합물로부터의 흐름에 의해 유동성 가스가 제공될 수 있다. 이러한 제 1 증착에 적합한 D/S 범위는 10-30이며, 이는 앞서 설명된 것처럼 하부에 놓인 구조물을 보호하기 위한 충분한 커스핑을 형성한다.
제 1 증착은 유동성 가스가 H2로 대체되는 제 2 증착에 의해 블록(608)에 수반된다. 전구체 가스는 Si-함유 가스 및 산소-함유 가스를 계속 포함하며 제 1 증착에서와 통상적으로 동일한 전구체 가스이다. 이러한 제 2 증착에 적합한 D/S 비율의 범위는 5-15이다. 블록(604, 608)에서 2번의 증착은 도 2에 대해 개시된 블록(216, 224)에서의 증착에 대응한다. 2번의 증착 단계(604, 608)는 충진되는 갭 깊이를 약 85%까지 증착시키는데 사용된다. 일 실시예에서, 제 1 증착(604)은 갭 깊이의 약 40-65%를 충진시키는데 사용된다.
에칭 단계(612)는 NF3와 같은 불소-함유 가스를 사용하여 수행될 수 있고 인슈트로 또는 상이한 실시예에서 원격 플라즈마를 이용하여 사용될 수 있다. 특정 실시예에서, 에칭 단계는 NF3, O2, He 및 Ar을 포함하는 가스 혼합물로 수행된다. 에칭(612)은 기판에 전기적 바이어스를 제공함으로써 이방성으로 수행될 수 이고, 적절한 바이어스 전력 범위는 0.9-3.2 W/cm2이며 바람직한 범위는 0.9-1.6 W/cm2이다. 일 실시예에서, 증착된 두께의 약 5-15%는 에칭 단계(612)에 의해 제거될 수 있다. 소정의 실시예에서, 에칭 단계는 에칭 단계로 인해 막에 통합될 수 있는 불소를 제거하기 위해 블록(614)에서 패시베이션 단계를 수반한다. 이러한 패시베이션은 O2, O3, N2O 및 도 4 및 본 명세서에서 참조되는 US 특허 출원 번호 10/138,189호와 관련하여 앞서 개시된 것처럼 개시된 임의의 것들과 조합된 N2와 같은 패시베이션 가스에 막을 노출시킴으로써 처리될 수 있다.
갭의 나머지부는 유동성 가스로서 H2를 사용하여 블록(616)에서 나머지부(제 3) 증착 단계로 순차적으로 충진된다. 통상적으로, 제 1 및 제 2 증착(604, 608) 동안 사용되는 전구체 가스들은 나머지부 증착 단계(616) 동안에도 사용될 수 있다. 일 실시예에서, 전구체 가스는 SiH4와 같은 Si-함유 가스 O2와 같은 산소 함유 가스를 포함하며 특정 도펀트를 제공하기 위해 다른 전구체 가스를 부가적으로 포함할 수 있다. 나머지부 증착에 적합한 D/S 비율 범위는 4-10이며, 이는 보이드 형성 없이 갭이 충진되게 허용하기 위해 상당한 재증착이 없는 충진을 허용한다. 특정 실시예에서, 500 내지 1000sccm 사이의 유속으로 프로세스 챔버에 H2가 제공되며, 프로세스 챔버는 8 내지 30mtorr 사이의 압력으로 유지된다.
도 6과 관련하여 개시된 실시예는 도 4의 단일의 예비-에칭 증착이 도 6의 이중 예비-에칭 증착으로 대체된다는 것을 제외하고 도 4와 관련하여 개시된 실시예와 유사하다. 이는 보다 나은 갭충진 특성의 장점을 얻기 위해 예비-에칭 증착을 위한 유동성 가스로서 H2의 사용은 허용하나, 순차적인 에칭 단계 동안 하부에 놓인 구조물을 보호하기 위해 충분한 커스핑을 형성하도록 초기에 He 또는 다른 불활성 가스를 사용하는 초기 증착은 유지한다. 도 6에 도시된 실시예는 도 4에 도시된 실시예 보다 더 큰 종횡비를 갖는 갭을 충진시킬 수 있다.
4. 예시적인 기판 프로세싱 시스템
상기 개시된 방법은 HDP-CVD 시스템의 변형을 구현한 것으로, 일부는 도 7a-7d와 관련하여 상세히 설명된다. 도 7a는 일 실시예에서의 HDP-CVD 시스템(710)의 구조물을 개략적으로 나타낸다. 시스템(710)은 챔버(713), 진공 시스템(770), 소스 플라즈마 시스템(780A), 바이어스 플라즈마 시스템(780B), 가스 전달 시스템(733), 및 원격 플라즈마 세정 시스템(750)을 포함한다.
챔버(713) 상부 부분은 알루미늄 산화물 또는 알루미늄 질화물과 같이 세라믹 유전체 물질로 구성된 돔(714)을 포함한다. 돔(714)은 플라즈마 프로세싱 영역 (716)의 상부 경계를 규정한다. 플라즈마 프로세싱 영역(716)은 기판(717)의 상부 표면 및 기판 지지 부재(718)에 의해 하부상에 경계가 설정된다.
히터 플레이트(723) 및 냉각 플레이트(724)는 돔(714) 위에 놓여있고 돔(714)에 열적으로 결합된다. 히터 플레이트(723) 및 냉각 플레이트(724)는 약 100℃ 내지 약 200℃ 범위에 걸쳐 약 ±10℃ 이내로 돔 온도를 제어한다. 이는 다양한 프로세스에 대한 돔 온도를 최적화시킨다. 예를 들어, 증착 프로세스에 대한 것보다 세정 또는 에칭 프로세스에 대해 보다 높은 온도에서 돔을 유지하는 것이 바람직하다. 또한 돔 온도의 정확한 제어는 챔버내에서 박편 또는 미립자 수를 감소시켜 증착된 층과 기판 사이의 접착력을 개선시킨다.
챔버(713)의 하부 부분은 진공 시스템과 챔버를 결합시키는 바디 부재(722)를 포함한다. 기판 지지 부재(718)의 베이스 부분(721)은 바디 부재(722)상에 장착되어 연속적인 내부 표면을 형성한다. 기판은 챔버(713)의 측면에 있는 삽입/제거 개구부(미도시)를 통해 로봇 블레이드(미도시)에 의해 챔버(713) 안팎으로 전달된다. 리프트 핀들(미도시)이 모터(미도시)의 제어하에 상승되고 하강되어 기판이 상부 로딩 위치(757)에서의 로봇 블레이드로부터 기판 지지 부재(718)의 기판 수용 부분(719) 상에 위치한 하부 프로세싱 위치(756)로 이동될 수 있다. 기판 수용 부분(719)은 기판 프로세싱 동안 기판 지지 부재(718)에 기판을 보유하는 정전기 척(720)을 포함한다. 바람직한 실시예에서, 기판 지지 부재(718)는 알루미늄 산화물 또는 알루미늄 세라믹 물질로 형성된다.
진공 시스템(770)은 트윈-블레이드 트로틀 밸브(726)를 수용하고 게이트 밸 브(727) 및 터보-분자형 펌프(728)에 부착된 트로틀 바디(725)를 포함한다. 트로틀 바디(725)가 가스 흐름에 대한 방해를 최소화시켜, 대칭 펌핑이 허용한다는 것을 주목해야 한다. 게이트 밸브(727)는 트로틀 바디(725)로부터 펌프(728)를 절연시킬 수 있고 또한 트로틀 밸브(726)가 완전히 개방되는 경우 배기 흐름 용량성을 제한함으로써 챔버 압력을 제어할 수 있다. 트로틀 밸브, 게이트 밸브, 및 터보-분자형 펌프의 장치는 약 1millitorr 내지 약 2 torr 사이에서 챔버 압력을 정확히 안정하게 제어할 수 있다.
소스 플라즈마 시스템(780A)은 돔(714)상에 장착된 상부 코일(729) 및 측면 코일(730)을 포함한다. 대칭적 접지 실드(미도시)는 코일들 사이의 전기적 결합을 감소시킨다. 상부 코일(729)은 소스 RF(SRF) 발생기(731A)에 의해 전력이 공급되는 반면, 측면 코일(730)은 측면 SRF 발생기(731B)에 의해 전력이 공급되어, 각각의 코일에 대한 동작 주파수 및 독립적인 전력 레벨이 허용된다. 이러한 이중 코일 시스템은 챔버(713)내 방사상 이온 밀도의 제어를 허용하여 플라즈마 균일성을 개선시킨다. 측면 코일(730) 및 상부 코일(729)은 전형적으로 보조 전극을 요구하지 않고 유도적으로 구동된다. 특정 실시예에서, 상부 소스 RF 발생기(731A)는 공칭적으로 2MHz에서 2500와트의 RF 전력을 제공하며 측면 소스 RF 발생기(731B)는 공칭적으로 2MHz에서 5000와트의 RF 전력을 제공한다. 상부 및 측면 RF 발생기의 동작 주파수는 플라즈마-발생 효율을 개선시키기 위해 공칭 동작 주파수(예를 들어, 각각 1.7-1.9 MHz 및 1.9-2.1 MHz)로부터 상쇄된다.
바이어스 플라즈마 시스템(780B)은 바이어스 RF("BRF") 발생기(731C) 및 바 이어스 매칭 네트워크(732C)를 포함한다. 바이어스 플라즈마 시스템(780B)는 기판 부분(717)을 보조 전극으로서의 역할을 하는 바디 부재(722)에 용량성 결합시킨다. 바이어스 시스템(780B)는 기판의 표면에 소스 플라즈마 시스템(780A)에 의해 발생된 플라즈마 종들(예를 들어 이온들)의 전달을 강화시킨다. 특정 실시예에서, 바이어스 RF 발생기는 13.56 MHz에서 5000 와트의 RF 전력을 제공한다.
RF 발생기(731A, 731B)는 디지털방식으로 제어되는 합성기를 포함하며 약 1.8 내지 약 2.1 MHz 사이의 주파수 범위에서 작동한다. 각각의 발생기는 챔버 및 코일로부터 발생기로 다시 반사되는 전력을 측정하는 RF 제어 회로(미도시)를 포함하며 당업자에게 공지된 바와 같이 최저의 반사 전력을 얻기 위해 동작 주파수를 조절한다. 통상적으로 RF 발생기는 50 옴의 특성 임피던스를 갖는 부하(load)에서 동작하도록 설계된다. RF 전력은 발생기 보다 상이한 특성 임피던스를 가지는 부하로부터 반사될 수 있다. 이는 부하에 전달되는 전력을 감소시킬 수 있다. 또한, 부하로부터 발생기로 다시 반사되는 전력은 발생기에 과부화되어 발생기를 손상시킬 수 있다. 여러 요인중 플라즈마 이온 밀도에 따라 플라즈마 임피던스는 5 옴 미만 내지 900 옴 이상의 범위이고, 반사된 전력은 주파수의 함수이기 때문에, 반사된 전력에 따른 발생기 주파수 조절은 RF 발생기로부터 플라즈마에 전달디는 전력을 증가시키고 발생기를 보호한다. 반사된 전력을 감소시키고 효율을 강화시키는 또다른 방법은 매칭 네트워크를 사용하는 것이다.
매칭 네트워크(732A, 732B)는 각각 코일(729, 730)을 갖는 발생기(731A, 731B)의 출력 임피던스와 매칭된다. RF 제어 회로는 부화 변화에 따라 부하와 발 생기를 매칭시키기 위해 매칭 네트워크 내의 캐패시터 값을 변화시킴으로써 양쪽 매칭 네트워크를 동조시킬 수 있다. RF 제어 회로는 로드로부터 발생기로 다시 반사되는 전력이 일정한 범위를 초과하는 경우 매칭 네트워크를 동조시킬 수 있다. 일정한 매칭을 제공하고 매칭 네트워크 동조로부터 RF 제어 회로를 효과적으로 디스에이블시키기 위한 방법 중 하나는 반사된 전력의 임의의 예상된 값 이상으로 반사된 전력 범위를 설정하는 것이다. 이는 가장 최근의 조건에서 매칭 네트워크 상수를 유지함으로써 소정의 조건하에서 플라즈마를 안정화시키는 것을 돕는다.
플라즈마 안정화를 돕는 다른 방법이 있을 수 있다. 예를 들어, RF 제어 회로는 부하(플라즈마)에 전달되는 전력을 검출하고 층을 증착하는 동안 실질적으로 일정하게 전달된 전력을 유지하도록 발생기 출력 전력을 증가 또는 감소시킬 수 있다.
가스 전달 시스템(733)은 몇개의 소스(734A-734B)로부터 가스 전달 라인(단지 일부만이 도시됨)을 통해 기판을 프로세싱하는 챔버에 가스를 공급한다. 당업자가 알고 있는 바와 같이, 소스(734A-734E)를 위해 사용되는 실제 소스 및 챔버(713)와 전달 라인(738)의 실제 접속은 챔버(713)내에서 수행되는 증착 및 세정 프로세스에 따라 변한다. 가스는 가스 링(737) 및/또는 상부 노즐(745)을 통해 챔버(713)에 주입된다. 도 7b는 가스 링(737)의 부가 사항을 나타내는 챔버(713)의 간략화된 부분 단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소스(734A, 734B) 및 제 1 및 제 2 가스 흐름 제어기(735A', 735B')는 가스 전달 라인(단지 몇개만이 도시됨)을 통해 가스 링(737)의 링 플레넘(736)에 제공된다. 가스 링(737)은 기판 위에 균일한 가스 흐름을 제공하는 다수의 소스 가스 노즐(739)(설명을 위해 단지 한개만이 도시됨)을 포함한다. 노즐 길이 및 노즐 각도는 각각의 챔버내에서 특정 프로세스에 대해 균일한 프로파일 및 가스 활용 효율성의 조절을 허용하도록 변할 수 있다. 바람직한 실시예에서, 가스 링(737)은 알루미늄 산화물 세라믹으로 구성된 12개의 소스 가스 노즐을 갖는다.
또한 가스 링(737)은 다수의 산화제 가스 노즐(740)(단지 하나만이 도시됨)을 포함하며, 바람직한 실시예에서 소스 가스 노즐(739)와 공면이며 상기 소스 가스 노즐(739) 보다 짧고 일 실시예에서 바디 플레넘(741)으로부터 가스를 수용한다. 소정 실시예에서, 챔버(713)에 가스를 주입하기 이전에 소스 가스와 산화제 가스를 혼합하지 않는 것이 바람직하다. 다른 실시예에서, 산화제 가스 및 소스 가스는 바디 플레넘(741)과 가스 링 플레넘(736) 사이에 개구부(미도시)를 제공함으로써 챔버(713)에 가스를 주입하기 이전에 혼합될 수 있다. 일 실시예에서, 제 3, 제 4 및 제 5 가스 소스(734C, 734D 및 734D') 및 제 3 및 제 4 가스 흐름 제어기(735C, 735D')는 가스 전달 라인(738)을 통해 바디 플레넘에 가스를 제공한다. 743B(다른 밸브는 도시되지 않음)와 같은 추가 밸브들은 흐름 제어기로부터 챔버로 가스를 차단할 수 있다.
가연성, 독성, 또는 부식성 가스가 사용되는 실시예에서, 증착 이후에 가스 전달 라인에 남아있는 가스를 소거하는 것이 바람직하다. 이는 전달 라인(738A)으로부터 챔버(713)를 절연시키고 예를 들어 전달 라인(738A)을 진공 포어라인으로 배기시키기 위해 밸브(743B)와 같은 3-웨이(way) 밸브를 사용하여 달성되 수 있다. 도 7A에 도시된 것처럼, 734A 및 743C와 같이 다른 유사한 밸브들이 다른 가스 전달 라인상에 통합되 수 있다. 이러한 3-웨이 밸브는 챔버 챔버(713)에 근접하게 위치되어 배기되지 않은 가스 전달 라인(3-웨이 밸브와 챔버 사이)의 체적을 최소화시킨다. 또한, 2-웨이(온-오프) 밸브(미도시)는 질량 흐름 제어기("MFC")와 챔버 사이 또는 가스 소스와 MFC 사이에 위치될 수 있다.
다시 도 7a를 참조로, 챔버(713)는 상부 노즐(745) 및 상부 배기구(746)를 포함한다. 상부 노즐(745)과 상부 배기구(746)는 막 균일성을 개선시키는 가스의 상부 및 측면 흐름의 독립적인 제어 및 막의 증착 및 도핑 파라미터의 미세한 조절을 허용한다. 상부 배기구(746)는 상부 노즐(745) 부근의 환형 개구부이다. 일 실시예에서, 제 1 가스 소스(734A)는 소스 가스 노즐(739)와 상부 노즐(745)을 공급한다. 소스 노즐 MFC(735A')은 소스 가스 노즐(739)로 전달되는 가스 양을 제어하며 상부 노즐 MFC(735A)는 상부 가스 노즐(745)로 전달되는 가스양을 제어한다. 유사하게, 두 개의 MFC의 735B ALC 735B'는 소스(734B)와 같은 단일 산소 소스로부터 상부 배기구(746) 및 산화제 가스 노즐들(740)로의 산소 흐름을 제어하는데 이용될 수 있다. 상부 노즐(745) 및 상부 배기구(746)에 제공된 가스들은 가스들을 챔버(713)로 흐르도록 하기 전에 분리 유지될 수 있거나, 가스들은 챔버(713)로 흐르기 전에 상부 플래넘(748)에서 혼합될 수 있다. 동일한 가스의 별개의 소스들은 챔버의 다양한 부분들을 공급하도록 이용될 수 있다.
원격 마이크로파-생성 플라즈마 세정 시스템(750)은 챔버 부품들로부터 증착 잔유물들을 주기적으로 세정하도록 제공된다. 세정 시스템은 반응기 캐비티(753) 내의 세정 가스 소스(734E)(예를 들어, 분자 플루오르, 질소 삼플루오르, 다른 플루오르카본 또는 등가물)로부터 플라즈마를 생성하는 원격 마이크로파 생성기(735)를 포함한다. 이러한 플라즈마로부터의 반응성 종들은 어플리케이터 튜브(755)를 통해 세정 가스 공급 포트(754)에 의해 챔버(713)로 전달된다. 세정 플라즈마(예를 들어, 캐비티(753) 및 어플리케이터 튜브(755))를 포함시키는데 이용되는 물질들은 플라즈마에 의한 충격에 견뎌내야만 한다. 반응기 캐비티(753)와 공급 포트(754) 사이의 간격은 실시 가능한 한 짧게 유지되어야 하는데, 그 이유는 요구되는 플라즈마 종들의 농도가 반응기 캐비티(753)에서 멀어질수록 감소할 수 있기 때문이다. 원격 캐비티에서 세정 가스를 생성하는 것은 효율적인 마이크로파 생성기의 사용을 허용하고, 챔버 부품들이 온도, 방사, 또는 인-시튜로 형성되는 플라즈마에 존재할 수 있는 글로 방전에 영향을 받지 않도록 하여준다. 결과적으로, 정전기 척(720)과 같은 상대적인 민감성 부품들은 더미 인-시튜 플라즈마 세정 프로세스에서 요구될 수 있는 바와 같이 보호되어야 하는 것처럼 더미 웨이퍼로 커버될 필요가 없다. 일실시예에서, 이러한 세정 시스템은 에칭 가스의 원자들을 멀리 분리시키는데 이용되고, 그 후, 상기 원자들은 프로세스 챔버(713)로 제공된다. 다른 실시예에서, 에칭 가스는 프로세서 챔버(713)에 직접 제공된다. 또 다른 실시예에서, 개별 챔버들에서 수행되는 증착 및 에칭 단계에 대해 다수의 프로세스 챔버들이 이용된다.
시스템 제어기(760)는 시스템(710)의 동작을 제어한다. 바람직한 실시예에 서, 제어기(760)는 프로세서(761)에 결합되는, 하드 디스크 드라이브, 플로피 디스크 드라이브(미도시됨), 및 카드 래크와 같은 메모리(762)를 포함한다. 카드 래크는 단일-보드 컴퓨터(SBC: single-board computer)(미도시됨), 아날로그 및 디지털 입력/출력 보드들(미도시됨), 인터페이스 보드들(미도시됨), 및 스텝퍼 모터 제어기 보드들(미도시됨)을 포함할 수 있다. 시스템 제어기는 보드, 카드 케이지, 및 커넥터 치수 및 유형을 정의하는 버사 모듈 유럽피언("VME: Versa Modular European") 표준을 따른다. VME 표준은 또한 16-비트 데이터 버스 및 24-비트 어드레스 버스를 갖는 것과 같은 버스 구조 또한 정의한다. 시스템 제어기(731)는 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램의 제어 하에서, 또는 이동 가능한 디스크 상에 저장된 프로그램들과 같은 다른 컴퓨터 프로그램들을 통해 동작한다. 컴퓨터 프로그램은 예를 들어 특정 프로세스의 타이밍, 가스들의 혼합, RF 전력 레벨 및 다른 파라미터들을 지시한다. 사용자와 시스템 제어기 사이의 인터페이스는 도 7c에 도시된 바와 같이, 음극선관("CRT")(765)과 같은 모니터, 및 광 펜(766)을 통해 이루어진다.
도 7c는 도 7a의 예시적 CVD 프로세싱 챔버와 결합하여 사용되는 예시적 시스템 사용자 인터페이스의 일부를 도시한다. 시스템 제어기(760)는 컴퓨터-판독가능 메모리(762)에 결합된 프로세서(761)를 포함한다. 바람직하게, 메모리(762)는 하드 디스크 드라이브일 수 있지만, 메모리(762)는 ROM, PROM 등과 같은 다른 종류의 메모리일 수 있다.
시스템 제어기(760)는 메모리(762) 내에 컴퓨터-판독가능 포맷으로 저장된 컴퓨터 프로그램(763)의 제어 하에서 동작한다. 컴퓨터 프로그램은 특정 프로세스의 타이밍, 온도들, 가스 흐름, RF 전력 레벨 및 다른 파라미터들을 지시한다. 사용자와 시스템 제어기 사이의 인터페이스는 도 7c에 도시된 바와 같이, CRT 모니터(765) 및 광 펜(766)을 통해 이루어진다. 바람직한 실시예에서, 두 개의 모니터들(765 및 765A), 및 두 개의 광 펜들(766 및 766A)이 사용되는데, 하나씩은 운영자들ㅇ르 위해 세정실 벽(665) 에 장착되고, 나머지 하나씩은 서비스 기술자들을 위해 벽(665A) 뒤에 장착된다. 두 개의 모니터들은 동시에 동일한 정보를 디스플레이하지만, 하나의 광 펜(예를 들어, 766)만이 인에이블 된다. 특정 스크린 또는 기능을 선택하기 위해, 운영자는 디스플레이 스크린의 영역을 터치하고 펜 상의 버튼(미도시됨)을 푸시한다. 터치된 영역은 예를 들어 색깔을 변화시키거나 새로운 메뉴를 디스플레이함으로써 광 펜에 의해 선택되어 확인된다.
컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 또는 파스칼 같은 임의의 기존의 컴퓨터-판독가능 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 기존의 문자 편집기를 이용하여 단일 파일, 또는 다수의 파일들에 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터-이용가능 매체에 저장되거나 내장된다. 만일 입력된 코드 문자가 높은 레벨의 언어이면, 상기 코드는 컴파일되고, 최종 컴파일러 코드는 사전컴파일된 윈도우 라이브러리 루틴들의 오브젝트 코드에 링크된다. 링크된 컴파일된 오브젝트 코드를 실행시키기 위해, 시스템 사용자는 오브젝트 코드를 호출함으로써 컴퓨터 시스템이 메모리에 코드를 로딩하도록 한다. CPU는 메모리로부터 코드를 판독하고, 프로그램에서 식별된 작업들을 수행하기 위 해 상기 코드를 실행한다.
도 7d는 컴퓨터 프로그램(800)의 계층적 제어 구조를 나타낸 블록 다이아그램이다. 사용자는 광 펜 인터페이스를 사용함으로써 CRT 상에 디스플레이된 메뉴들 또는 스크린들에 응답하여 프로세스 세트 번호 및 프로세스 챔버 번호를 프로세스 선택기 서브루틴(810)에 입력한다. 프로세스 세트들은 특정화된 프로세스들을 수행하는데 필요한 프로세스 파라미터들의 소정의 세트들이고, 소정의 세트 번호들에 의해 식별된다. 프로세스 선택기 서브루틴(810)은 (ⅰ)다중 챔버 시스템에서 바람직한 프로세스 챔버, 및 (ⅱ)원하는 프로세스를 수행하기 위한 프로세스 챔버를 운영하는데 필요한 바람직한 프로세스 파라미터들의 세트를 식별한다. 특정 프로세스를 수행하기 위한 프로세스 파라미터들은 프로세스 가스 조성과 유속, 온도, 압력, RF 전력 레벨과 같은 플라즈마 상태, 및 챔버 돔 온도와 같은 조건들과 관련되고, 처방(recipe)의 형태로 사용자에게 제공된다. 상기 처방에 의해 식별된 파라미터들은 광 펜/CRT 모니터 인터페이스를 이용하여 입력된다.
프로세스를 모니터링 하기 위한 신호들은 시스템 제어기(760)의 아날로그 및 디지털 입력 보드들에 의해 제공되고, 프로세스를 제어하기 위한 신호들은 시스템 제어기(760)의 아날로그 및 디지털 출력 보드들 상에 출력된다.
프로세스 시퀀스 서브루틴(820)은 프로세스 선택기 서브루틴(810)으로부터 식별된 프로세스 챔버 및 프로세스 파라미터들의 세트를 수용하고 다양한 프로세스 챔버들의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다수의 사용자들은 다수의 프로세스 세트 번호들 및 프로세스 챔버 번호들을 입력할 수 있고, 시퀀스 서 브루틴(820)은 선택된 프로세스들을 바람직한 시퀀스로 스케줄링한다. 바람직하게, 시퀀스 서브루틴(820)은 (ⅰ)챔버들이 이용되고 있는지를 결정하기 위해 프로세스 챔버들의 동작을 모니터링하는 단계, (ⅱ)이용되고 있는 챔버들에서 수행되고 있는 프로세스들이 무엇인지를 결정하는 단계, 및 (ⅲ)프로세스의 이용 가능성 및 수행될 프로세스의 유형에 기초하여 바람직한 프로세스를 실행시키는 단계를 수행하기 위한 프로그램 코드를 포함한다. 폴링과 같은, 프로세스 챔버들을 모니터링하는 기존의 방법들이 이용될 수 있다. 어떤 프로세스가 실행될지를 스케줄링 할 때, 시퀀스 서브루틴(820)은 각각의 특정 사용자-입력 요청의 "수명(age)", 또는 선택된 프로세스에 대한 바람직한 프로세스 상태들과 비교하여 이용될 프로세스 챔버의 현재 상태, 또는 시스템 프로그래머가 스케줄링 우선순위를 결정하기 위해 포함시키길 원하는 다른 관련된 인자들을 고려하여 설계될 수 있다.
시퀀스 서브루틴(820)이 어떤 프로세스 챔버와 프로세스 세트 결합이 다음에 실행될지를 결정한 이후, 시퀀스 서브루틴(820)은 특정 프로세스 세트 파라미터들을 챔버 관리 서브루틴(839A 내지 830C)에 전달함으로써 프로세스 세트의 실행을 개시하는데, 상기 챔버 관리 서브루틴은 시퀀스 서브루틴(820)에 의해 송신된 프로세스 세트에 따라 챔버(713) 및 가능한 다른 챔버들(미도시됨)에서의 다수의 프로세싱 작업들을 제어한다.
챔버 부품 서브루틴의 예로는 기판 위치설정 서브루틴(840), 프로세스 가스 제어 서브루틴(850), 압력 제어 서브루틴(860), 및 플라즈마 제어 서브루틴(870)이 있다. 챔버(713)에서 어떤 프로세스가 선택되어 수행될지에 따라 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 당업자는 인식할 것이다. 동작시, 챔버 매니저 서브루틴(830A)는 선택적으로 수행될 특정 프로세스 세트에 따라 프로세스 성분 서브루틴들을 계획하고 호출한다. 챔버 매니저 서브루틴(830A)은 시퀀서 서브루틴(820)이 프로세스 챔버 및 실행될 프로세스 세트를 계획하는 것과 동일한 방식으로 프로세스 성분 서브루틴을 계획한다. 통상적으로, 챔버 매니저 서브루틴(830A)은 다양한 챔버 성분들을 모니터링하는 단계, 수행될 프로세스에 대한 프로세스 파라미터에 기초하여 동작될 필요가 있는 성분들을 검출하는 단계, 및 상기 모니터링하는 단계와 검출하는 단계에 응답하여 챔버 성분 서브루틴을 실행시키는 단계를 포함한다.
도 7a 및 7d를 참조로 특정 챔버 성분 서브루틴의 동작이 설명된다. 기판 위치설정 서브루틴(840)은 기판 지지 부재(718)상에 기판을 장착하는데 사용되는 챔버 성분들을 제어하기 위한 프로그램 코드를 포함한다. 또한 기판 위치설정 서브루틴(840)은 예를 들어 플라즈마-강화 CVD("PECVD") 반응기 또는 다른 프로세싱이 완료된 이후에, 멀티-챔버 시스템의 다른 반응기로부터 챔버(713) 속으로 기판 이송을 제어한다.
프로세스 가스 제어 서브루틴(850)은 프로세스 가스 조성 및 유속을 제어하기 위한 프로그램 코드를 포함한다. 서브루틴(850)은 안전 차단 밸브의 개방/폐쇄 위치를 제어하며 또한 원하는 가스 유속을 얻기 위해 질량 흐름 제어기를 램프업/램프 다운시킨다. 프로세스 가스 제어 서브루틴(850)을 포함하는 모든 챔버 부품 서브루틴은 챔버 매니저 서브루틴(830A)에 의해 호출된다. 서브루틴(850)은 원하 는 가스 유속관 관련된 챔버 매니저 서브루틴(830A)으로부터 프로세스 파라미터를 수신한다.
통상적으로, 프로세스 가스 제어 서브루틴(850)은 가스 공급 라인을 개방시키며, 반복적으로, (i) 필요한 질량 흐름 제어기를 판독하고, (ii) 챔버 매니저 서브루틴(830A)으로부터 수신된 원하는 유속과 판독치를 비교하고, (iii) 필요에 따라 가스 공급 라인의 유속을 조절한다. 또한, 프로세스 가스 제어 서브루틴(850)은 불안정한 속도에 대한 가스 유속을 모니터링하는 단계 및 불안정한 조건이 검출되는 경우 안전 차단 밸브를 동작시키는 단계를 포함한다.
소정의 프로세스에서, 반응성 프로세스 가스가 주입되기 이전에 챔버내의 압력을 안정화시키기 위해 아르곤과 같은 불활성 가스가 챔버(713)로 흐른다. 이러한 프로세스를 위해, 프로세스 가스 제어 서브루틴(850)은 챔버내 압력을 안정화시키기 위해 필요한 양의 시간 동안 불활성 가스가 챔버(713)에 흐르게 하는 단계를 포함하도록 프로그램된다. 다음 상기 개시된 단계들이 수행될 수 있다.
부가적으로, 액체 전구체, 예를 들어, TEOS(teraethylorthosilane)으로부터 프로세스 가스가 기화되면, 프로세스 가스 제어 서브루틴(850)은 버블러 어셈블리의 액체 전구체를 통해 헬륨과 같은 전달 가스를 버블링시키거나 또는 액체 주입 밸브에 헬륨을 주입하기는 단계들을 포함할 수 있다. 이러한 형태의 프로세스를 위해, 프로세스 가스 제어 서브루틴(850)은 전달 가스의 흐름, 버블러의 압력, 및 버블러 온도를 조절하여 원하는 프로세스 가스 유속을 얻을 수 있다. 상기 개시된 거서럼, 원하는 프로세스 가스 유속은 프로세스 파라미터로서 프로세스 가스 제어 서브루틴(850)으로 전달된다.
또한, 프로세스 가스 제어 서브루틴(850)은 주어진 프로세스 가스 유속에 대해 필요한 값을 함유하고 있는 저장된 테이블을 참조함으로써 원하는 프로세스 가스 유속을 위해 필요한 전달 가스 유속, 버블러 압력, 및 버블러 온도를 얻는 단계를 포함한다. 일단 필요한 값이 얻어지면, 전달 가스 유속, 버블러 압력 및 버블러 온도가 모니터되고 필요한 값들과 비교되고 조절된다.
또한 프로세스 가스 제어 서브루틴(850)은 독립적인 헬륨 제어(IHC) 서브루틴(미도시)으로, 웨이퍼 척의 내부 및 외부 통로를 통해, 헬륨(He)과 같은 열-전달 가스의 흐름을 제어한다. 가스 흐름은 기판과 척을 열적으로 결합시킨다. 전형적인 프로세스에서, 웨이퍼는 플라즈마 및 층을 형성하는 화학 반응에 의해 가열되며, He는 수냉식으로 척을 통해 기판을 냉각시킨다. 이는 기판 상에서 존재하는 피쳐가 손상될 수 있는 온도 이하로 기판을 유지한다.
압력 제어 서브루틴(760)은 챔버 배기부의 트로틀 밸브(726)의 개구부 크기를 조절함으로써 챔버(713)내 압력을 조절하기 위한 프로그램 코드를 포함한다. 트로틀 밸브로 챔버를 제어하는 적어도 2가지 기본 방법이 있다. 제 1 방법은 특히 전체 프로세스 가스 흐름, 프로세스 챔버 크기, 및 펌핑 용량성과 관련하여 특정화되는 챔버 압력에 따라 좌우된다. 제 1 방법은 고정 위치에 트로틀 밸브(726)를 설정한다. 고정 위치에 트로틀 밸브(726) 설정은 결국 정상상태 압력을 야기시킨다.
선택적으로, 예를 들어 기압계로 챔버 압력이 측정되며, 제어 포인트는 가스 흐름 및 배기 용량에 의한 경계 설정치내에 있다고 가정하여,압력 제어 서브루틴(860)에 따라 트로틀 밸브(726)의 위치가 조절된다. 전자의 방법은 후자의 방법과 관련된 측정, 비교, 및 계산이 수반되지 않기 때문에, 보다 신속하게 챔버 압력을 변경할 수 있다. 전자의 방법은 챔버 압력의 정확한 제어가 요구되지 않는 분야에 바람직한 반면, 후자의 방법은 층을 증착하는 동안과 같이 안정한 압력이 요구되는 분야에 바람직하다.
압력 제어 서브루틴(860)이 수반되는 경우, 원하는 또는 타겟 압력 레벨이 챔버 매니저 서브루틴(830A)으로부터의 파라미터로서 수신된다. 압력 제어 서브루틴(860)은 챔버에 접속된 하나 이상의 종래의 압력계를 판독함으로써 챔버(713) 압력을 측정하고; 타겟 압력과 측정된 값(들)을 비교하고; 타겟 압려에 대응하는 저장된 압력 표로부터 비례, 적분, 미분(PID) 값들을 얻고; 압력표에서 얻은 PID 값에 따라 트로틀 밸브(726)를 조절한다. 선택적으로, 압력 제어 서브루틴(860)은 원하는 압력 또는 압력 범위로 챔버(713)의 압력을 조절하기 위해 특정 개구부 크기로 트로틀 밸브(726)를 개방 또는 폐쇄시킨다.
플라즈마 제어 서브루틴(870)은 RF 발생기(731A, 731B)의 주파수 및 전력 출력 설정치를 제어하고 매칭 네트워크(732A, 732B)를 동조시키기 위한 프로그램 코드를 포함한다. 앞서 개시된 챔버 성분 서브루틴과 같은 플라즈마 제어 서브루틴(870)은 챔버 매니저 서브루틴(830A)에 의해 호출된다.
소정의 또는 전체 서브시스템 및 상기 개시된 루틴들을 포함할 수 있는 시스템의 예로는 본 발명을 수행하도록 구성된, 캘리포니아 산타클라라의 어플라이드 머티어리얼스사에 의해 제조된 ULTIMATM 시스템이 있다. 이러한 시스템의 보다 상세한 설명은 공동 발명자로 프레드 씨. 레데커, 파하드 모하담, 히로지 하나와, 테트수야 이스카와, 댄 메이댄, 시지안 리, 브리안 루에, 로버트 스테거, 야신 왕, 마누스 왕 및 애쇼크 신하가 기재되어 있고, 본 명세서에서 참조되고 있는, "대칭적으로 동조가능한 유도결합된 HDP-CVD 반응기"란 명칭으로 1996년 7월 15일자로 출원된 공동 양도된 미국 특허 번호 6,170,428호에 개시되어 있다. 개시된 시스템은 단지 예시를 위한 것이다. 당업자들은 본 발명을 수행하기 위해 적절한 종래의 기판 프로세싱 시스템 및 컴퓨터 제어 시스템을 선택할 수 있다.
당업자들은 상이한 프로세싱 챔버 및 상이한 프로세싱 조건에 대해 프로세싱 파라미터들을 변경할 수 있고, 상이한 전구체들이 본 발명의 범주를 이탈하지 않고 사용될 수 있다는 것을 이해할 것이다. 다른 변형들에 대해 당업자들은 이해할 것이다. 이러한 등가물 및 대안은 본 발명의 범주내에 포함되어야 한다. 따라서, 본 발명의 범주는 상세한 설명부로 제한되는 것이 아니라, 하기의 청구범위에 의해 제한된다.

Claims (36)

  1. 기판 표면의 갭을 충진시키는 막을 증착하는 방법으로서,
    고밀도 플라즈마 화학적 기상증착(HDP-CVD) 프로세스에서 실리콘-함유 가스, 산소-함유 가스, 및 제 1 유동성 가스를 포함하는 제 1 가스 혼합물을 이용하여 갭에 막의 제 1 부분을 증착하는 단계 ;
    이어서, 상기 막을 불소-함유 가스를 이용하여 에칭하는 단계; 및
    이어서, HDP-CVD 프로세스에서 실리콘-함유 가스, 산소-함유 가스 및 제 2 유동성 가스를 포함하는 나머지 가스 혼합물을 이용하여 갭에 막의 나머지부를 증착하는 단계
    를 포함하며, 상기 제 2 유동성 가스는 상기 제 1 유동성 가스보다 낮은 평균 분자량을 가지는, 갭을 충진하는 막 증착 방법.
  2. 제 1 항에 있어서,
    상기 제 1 유동성 가스는 불활성 가스를 포함하며 상기 제 2 유동성 가스는 H2를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  3. 제 2 항에 있어서,
    상기 제 1 유동성 가스는 He를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  4. 제 3 항에 있어서,
    상기 제 1 유동성 가스는 H2를 더 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  5. 제 4 항에 있어서,
    상기 제 1 유동성 가스는 He 및 Ar의 혼합물을 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  6. 제 2 항에 있어서,
    상기 제 1 유동성 가스는 Ar 및 He를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  7. 제 6 항에 있어서,
    상기 Ar 및 He의 혼합물은 95wt.% 이상의 He를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  8. 제 6 항에 있어서,
    상기 Ar 및 He의 혼합물은 99wt.% 이상의 He를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  9. 제 1 항에 있어서,
    상기 막을 에칭하는 단계는 불소-함유 가스, 산소-함유 가스, He 및 Ar을 포함하는 에칭 가스 혼합물로 상기 막을 에칭하는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  10. 제 1 항에 있어서,
    상기 막을 에칭하는 단계는 상기 기판에 전기적 바이어스를 인가하는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  11. 제 1 항에 있어서,
    상기 막의 제 1 부분을 증착하는 단계는 10-30 범위내의 증착/스퍼터링 비율로 상기 막의 제 1 부분을 증착하는 단계를 포함하며, 상기 증착/스퍼터링 비율은 순수 증착비 및 블랭킷 스퍼터링비의 합 대 블랭킷 스퍼터링비의 비율로서 정의되는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  12. 제 1 항에 있어서,
    상기 막의 나머지부를 증착하는 단계는 4-10 범위내의 증착/스퍼터링 비율로 상기 막의 나머지부를 증착하는 단계를 포함하며, 상기 증착/스퍼터링 비율은 순수 증착비와 블랭킷 스퍼터링비의 합 대 블랭키 스퍼터링비의 비율로 정의되는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  13. 제 1 항에 있어서,
    상기 막의 제 1 부분을 증착하는 단계는 상기 갭 깊이의 35% 내지 85% 사이를 충진하는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  14. 제 1 항에 있어서,
    상기 막을 에칭하는 단계는 상기 막의 제 1 부분 두께의 5% 내지 15% 사이를 제거하는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  15. 제 1 항에 있어서,
    상기 막을 에칭한 후에 그리고 상기 막의 나머지부를 증착하기 이전에 상기 막을 패시베이팅하는 단계를 더 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  16. 제 15 항에 있어서,
    상기 막을 패시베이팅하는 단계는 상기 막을 산소 분위기에 노출시키는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  17. 제 1 항에 있어서,
    HDP-CVD 프로세스에서 제 2 가스 혼합물을 사용하여 상기 막의 제 2 부분을 증착하는 단계를 더 포함하며, 상기 제 2 가스 혼합물은 실리콘-함유 가스, 산소-함유 가스 및 H2를 포함하며, 상기 제 2 부분을 증착하는 단계는 상기 제 1 부분을 증착한 이후 그리고 상기 막을 에칭하기 이전에 수행되는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  18. 제 17 항에 있어서,
    상기 막의 제 2 부분을 증착하는 단계는 5-15 범위내의 제 2 증착/스퍼터링 비율로 상기 막의 제 2 부분을 증착하는 단계를 포함하며, 상기 제 2 증착/스퍼터링 비율은 제 2 순수 증착비와 제 2 블랭킷 스퍼터링비의 합 대 제 2 블랭킷 스퍼터링비의 비율로 정의되는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  19. 제 18 항에 있어서,
    상기 막의 제 1 부분을 증착하는 단계는 10-30 범위내의 제 1 증착/스퍼터링 비율로 상기 막의 제 1 부분을 증착하는 단계를 포함하며, 상기 제 1 증착/스퍼터링 비율은 상기 제 1 순수 증착비와 제 1 브랭킷 스퍼터링비의 합 대 제 1 블랭킷 스퍼터링비의 비율로서 정의 되는 것을 특징으로 하는 갭을 충진하는 막 증착 방 법.
  20. 제 17 항에 있어서,
    상기 막의 제 1 부분을 증착하는 단계는 상기 갭 깊이의 40% 내지 65% 사이를 충진하는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  21. 제 20 항에 있어서,
    상기 막의 제 2 부분을 증착하는 단계는 상기 갭 깊이를 추가적으로 20% 내지 45% 충진시키는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  22. 제 17 항에 있어서,
    상기 막을 에칭하는 단계는 상기 막의 제 1 부분 및 제 2 부분의 조합된 두께의 5% 내지 15% 사이를 제거하는 단계를 포함하는 것을 특징으로 하는 갭을 충진하는 막 증착 방법.
  23. 프로세스 챔버; 플라즈마 발생 시스템; 기판 홀더 ; 및 프로세스 챔버속으로 가스들을 주입하도록 구성된 가스 전달 시스템을 포함하는 기판 프로세싱 시스템의 동작을 지시하기 위해 컴퓨터-판독가능 프로그램이 내장된 컴퓨터 저장 매체로서, 상기 컴퓨터-판독가능 프로그램은,
    고밀도 플라즈마 화학적 기상 증착(HDP-CVD) 프로세스에서 실리콘-함유 가스, 산소-함유 가스, 및 제 1 유동성 가스를 포함하는 제 1 가스 혼합물을 사용하여 갭에 막의 제 1 부분을 증착하는 단계;
    이어서, 상기 막을 불소-함유 가스를 이용하여 에칭하는 단계; 및
    이어서, HDP-CVD 프로세스에서 실리콘-함유 가스, 산소-함유 가스, 및 제 2 유동성 가스를 포함하는 나머지 가스 혼합물을 이용하여 상기 갭에 막의 나머지부를 증착하는 단계
    를 수행함에 따라, 프로세스 챔버에 증착된 기판의 표면의 갭을 충진하도록 상기 막을 증착하기 위해, 기판 프로세싱 시스템을 동작시키는 명령들을 포함하며, 상기 제 2 유동성 가스는 상기 제 1 유동성 가스 보다 낮은 평균 분자량을 갖는, 컴퓨터-판독가능 저장 매체.
  24. 제 23 항에 있어서,
    상기 제 1 유동성 가스는 불활성 가스를 포함하며 상기 제 2 유동성 가스는 H2를 포함하는 것을 특징으로 하는 컴퓨터-판독가능 저장 매체.
  25. 제 24 항에 있어서,
    상기 제 1 유동성 가스는 He를 포함하는 것을 특징으로 하는 컴퓨터-판독가능 저장 매체.
  26. 제 25 항에 있어서,
    상기 제 1 유동성 가스는 H2를 더 포함하는 것을 특징으로 하는 컴퓨터-판독가능 저장 매체.
  27. 제 24 항에 있어서,
    상기 제 1 유동성 가스는 He 및 Ar의 혼합물을 포함하는 것을 특징으로 하는 컴퓨터-판독가능 저장 매체.
  28. 제 23 항에 있어서,
    상기 막을 에칭하는 단계는 상기 기판에 전기적 바이어스를 인가하는 단계를 포함하는 것을 특징으로 하는 컴퓨터-판독가능 저장 매체.
  29. 제 23 항에 있어서,
    상기 컴퓨터-판독가능 프로그램은 HDP-CVD 프로세스에서 제 2 가스 혼합물을 사용하여 상기 갭에 막의 제 2 부분을 증착하는 명령들을 더 포함하며, 상기 제 2 가스 혼합물은 실리콘-함유 가스, 산소-함유 가스, 및 H2를 포함하고, 상기 제 2 부분을 증착하는 단계는 상기 제 1 부분을 증착한 이후 그리고 상기 막을 에칭하기 이전에 수행되는 것을 특징으로 하는 컴퓨터-판독가능 저장 매체.
  30. 기판 프로세싱 시스템으로서,
    프로세스 챔버를 형성하는 하우징;
    상기 프로세스 챔버에 동작가능하게 결합되는 고밀도 플라즈마 발생 시스템;
    기판을 프로세싱하는 동안 기판을 보유하도록 구성된 기판 홀더;
    상기 프로세스 챔버에 가스들을 주입하도록 구성된 가스-전달 시스템;
    상기 프로세스 챔버내에서 선택된 압력을 유지하는 압력-제어 시스템;
    상기 고밀도 플라즈마 발생 시스템, 상기 가스-전달 시스템, 및 상기 압력-제어 시스템을 제어하는 제어기; 및
    상기 제어기에 결합된 메모리를 포함하며,
    상기 메모리는 기판 표면에 있는 갭을 충진시키기 위해 기판 프로세싱 시스템의 동작을 지시하도록 컴퓨터-판독가능 프로그램이 내장된 컴퓨터-판독가능 매체를 포함하며, 상기 컴퓨터-판독가능 프로그램은,
    고밀도 플라즈마 화학적 기상 증착(HDP-CVD) 프로세스에서 실리콘-함유 가스, 산소-함유 가스, 및 제 1 유동성 가스를 포함하는 제 1 가스 혼합물을 사용하여 갭에 막의 제 1 부분을 증착시키는 명령들;
    이어서, 상기 막을 불소-함유 가스를 이용하여 에칭하게 하는 명령들; 및
    이어서, HDP-CVD 프로세스에서, 실리콘-함유 가스, 산소-함유 가스, 및 제 2 유동성 가스를 포함하는 나머지 가스 혼합물을 이용하여 상기 갭에 막의 나머지부를 증착시키는 명령들을 포함하는, 기판 프로세싱 시스템.
  31. 제 30 항에 있어서,
    상기 제 2 유동성 가스는 H2를 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  32. 제 31 항에 있어서,
    상기 제 1 유동성 가스는 He를 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  33. 제 32 항에 있어서,
    상기 제 1 유동성 가스는 H2를 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  34. 제 31 항에 있어서,
    상기 제 1 유동성 가스는 Ar 및 He의 혼합물을 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  35. 제 30 항에 있어서,
    상기 막을 에칭하게 하는 명령들은 상기 기판에 전기적 바이어스를 인가하는 명령들을 포함하는 것을 특징으로 하는 기판 프로세싱 시스템.
  36. 제 30 항에 있어서,
    상기 컴퓨터-판독가능 프로그램은 HDP-CVD 프로세스에서 제 2 가스 혼합물을 사용하여 상기 갭에 막의 제 2 부분을 증착하는 명령들을 더 포함하며, 상기 제 2 가스 혼합물은 실리콘-함유 가스, 산소-함유 가스, 및 H2를 포함하며, 상기 제 2 부분은 상기 제 1 부분 이후 그리고 상기 에칭 이전에 증착되는 것을 특징으로 하는 기판 프로세싱 시스템.
KR1020057023255A 2003-06-04 2004-06-02 Hdp-cvd 다단계 갭충진 프로세스 KR101027265B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/456,611 2003-06-04
US10/456,611 US7205240B2 (en) 2003-06-04 2003-06-04 HDP-CVD multistep gapfill process

Publications (2)

Publication Number Publication Date
KR20060023137A true KR20060023137A (ko) 2006-03-13
KR101027265B1 KR101027265B1 (ko) 2011-04-06

Family

ID=33490203

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057023255A KR101027265B1 (ko) 2003-06-04 2004-06-02 Hdp-cvd 다단계 갭충진 프로세스

Country Status (5)

Country Link
US (1) US7205240B2 (ko)
KR (1) KR101027265B1 (ko)
CN (1) CN100530554C (ko)
TW (1) TWI290346B (ko)
WO (1) WO2004114366A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160118968A (ko) * 2015-04-03 2016-10-12 램 리써치 코포레이션 Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20170142926A (ko) * 2016-06-20 2017-12-28 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 반도체 제조 장치
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7268057B2 (en) * 2005-03-30 2007-09-11 Micron Technology, Inc. Methods of filling openings with oxide, and methods of forming trenched isolation regions
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
KR100745987B1 (ko) * 2005-08-09 2007-08-06 삼성전자주식회사 반도체 소자의 트렌치 소자 분리 영역 제조 방법
US20070059900A1 (en) * 2005-09-14 2007-03-15 Chien-Hsing Lai Multi-step depositing process
US7737483B2 (en) 2005-12-06 2010-06-15 Sandisk Corporation Low resistance void-free contacts
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
DE102007025341B4 (de) * 2007-05-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Abscheidesystem mit Mehrschrittabscheidesteuerung
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US7867921B2 (en) 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
CN101459109B (zh) * 2007-12-13 2013-01-23 中芯国际集成电路制造(上海)有限公司 制作浅沟槽隔离结构的方法
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US9134987B2 (en) 2009-05-29 2015-09-15 Red Hat, Inc. Retiring target machines by a provisioning server
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
CN102652351B (zh) 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102693931A (zh) * 2011-03-23 2012-09-26 中国科学院微电子研究所 一种薄膜填充方法
US8699183B2 (en) 2011-04-22 2014-04-15 Seagate Technology Llc Write pole and shield with different taper angles
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102424954B (zh) * 2011-08-15 2013-10-02 上海华力微电子有限公司 降低高密度等离子体化学气象淀积工艺的颗粒的清洗方案
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102701569B (zh) * 2012-01-12 2015-01-07 上海华力微电子有限公司 改善高密度等离子体化学气相淀积的磷硅玻璃形貌的方法
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101557341B1 (ko) * 2012-09-26 2015-10-06 (주)비엠씨 플라즈마 화학 기상 증착 장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8830625B2 (en) 2012-11-29 2014-09-09 Seagate Technology Llc Data writer with tapered side shield sidewalls
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6068727B2 (ja) 2013-04-04 2017-01-25 東京エレクトロン株式会社 パルス状気体プラズマドーピング方法及び装置
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106328582A (zh) * 2015-07-02 2017-01-11 无锡华润上华科技有限公司 金属层间介质膜层的形成方法及hdpcvd方法
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) * 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN109346399B (zh) * 2018-10-15 2021-10-01 上海华虹宏力半导体制造有限公司 金属层间介质膜层的形成方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN111799216A (zh) * 2020-06-30 2020-10-20 长江存储科技有限责任公司 填充方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
JPS61276A (ja) 1984-06-13 1986-01-06 Showa Denko Kk 接着性樹脂組成物
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
KR900005118B1 (ko) 1986-07-14 1990-07-19 미쓰비시전기주식회사 박막 형성장치
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) * 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5468742A (en) * 1991-07-19 1995-11-21 Bayer Aktiengesellschaft 8-vinyl- and 9-ethinyl-quinolone-carboxylic acids
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
GB2267291B (en) 1992-05-27 1995-02-01 Northern Telecom Ltd Plasma deposition process
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
JP3364694B2 (ja) * 1993-12-28 2003-01-08 株式会社アルバック 保護膜の形成方法
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) * 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
TW388096B (en) 1996-06-10 2000-04-21 Texas Instruments Inc Integrated circuit insulator and method
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) * 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160118968A (ko) * 2015-04-03 2016-10-12 램 리써치 코포레이션 Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20170142926A (ko) * 2016-06-20 2017-12-28 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 반도체 제조 장치
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Also Published As

Publication number Publication date
US20040245091A1 (en) 2004-12-09
CN100530554C (zh) 2009-08-19
CN101044598A (zh) 2007-09-26
TWI290346B (en) 2007-11-21
KR101027265B1 (ko) 2011-04-06
US7205240B2 (en) 2007-04-17
WO2004114366A3 (en) 2005-12-08
WO2004114366A2 (en) 2004-12-29
TW200509257A (en) 2005-03-01

Similar Documents

Publication Publication Date Title
KR101027265B1 (ko) Hdp-cvd 다단계 갭충진 프로세스
JP4790170B2 (ja) Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6903031B2 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7799698B2 (en) Deposition-selective etch-deposition process for dielectric film gapfill
US7628897B2 (en) Reactive ion etching for semiconductor device feature topography modification
US7524750B2 (en) Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US6958112B2 (en) Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
KR100870853B1 (ko) 플라즈마 공정용 플라즈마 전하의 손상을 감소시키는 방법
JP4230563B2 (ja) 低誘電率膜用高堆積率レシピ
US6200911B1 (en) Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
EP1156134A2 (en) Method and apparatus of depositing a layer of nitrogen-doped fluorinated silicate glass
JP5019676B2 (ja) Hdpcvd処理によるトレンチ充填

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee