KR20220069897A - 갭 충진에서 증착 및 에칭을 위한 장치 및 방법 - Google Patents

갭 충진에서 증착 및 에칭을 위한 장치 및 방법 Download PDF

Info

Publication number
KR20220069897A
KR20220069897A KR1020220059033A KR20220059033A KR20220069897A KR 20220069897 A KR20220069897 A KR 20220069897A KR 1020220059033 A KR1020220059033 A KR 1020220059033A KR 20220059033 A KR20220059033 A KR 20220059033A KR 20220069897 A KR20220069897 A KR 20220069897A
Authority
KR
South Korea
Prior art keywords
deposition
showerhead
pedestal
mode
etch
Prior art date
Application number
KR1020220059033A
Other languages
English (en)
Other versions
KR102580991B1 (ko
Inventor
아크힐 싱할
클림풋 패트릭 에이. 반
마틴 이. 프리본
슈라벤디즈크 바트 제이. 반
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220069897A publication Critical patent/KR20220069897A/ko
Application granted granted Critical
Publication of KR102580991B1 publication Critical patent/KR102580991B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치는 샤워헤드 및 페데스탈을 포함하는 프로세스 챔버; 하나 이상의 RF 생성기들; 및 하나 이상의 RF 생성기들에 동작하게 커플링된 하나 이상의 필터들을 포함하고, 통합된 장치는, (1) 증착 프로세스를 수행하는 증착 모드로서, 증착 모드의 하나 이상의 필터들은 저주파수 신호들을 샤워헤드로 선택적으로 방지하고 고주파수 신호들을 샤워헤드로 선택적으로 통과시키는, 증착 모드와 (2) 에칭 프로세스를 수행하는 에칭 모드로서, 에칭 모드의 하나 이상의 필터들은 페데스탈로 고주파수 신호들 및 저주파수 신호들을 선택적으로 통과시키는, 에칭 모드 사이에서 스위칭하도록 구성된다.

Description

갭 충진에서 증착 및 에칭을 위한 장치 및 방법{APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL}
집적 회로들의 제조는 많은 다양한 프로세싱 단계들을 포함한다. 빈번하게 채용되는 동작들 중 하나는 반도체 웨이퍼들 위 또는 내로 패터닝된 피처들 내의 갭 내로 유전체 막의 증착이다. 이러한 재료를 증착하는 목적들 중 하나는 갭 내에 보이드-프리 (void-free), 심-프리 (seam-free) 충진물을 형성하는 것이다.
HDP (high density plasma), SACVD (sub-atmospheric chemical vapor deposition), 및 LPCVD (low pressure chemical vapor deposition) 와 같은 증착 방법들이 갭 충진을 위해 사용되지만, 이들 방법들은 목표된 충진 능력 및 컨포멀성 (conformality) 을 달성하지 않는다. 유동성 (flowable) CVD (chemical vapor deposition) 및 SOD (spin-on dielectric) 방법들은 목표된 충진을 달성할 수 있지만, 매우 다공성 막들을 증착하는 경향이 있을 수 있다. 또한, 이들 방법들은 많은 추가 프로세싱 단계들을 필요로 하기 때문에, 통합하기 특히 어렵고 비용이 많이 든다. ALD (atomic layer deposition) 프로세스들은 또한 개선된 컨포멀성을 위해 갭 충진에 사용되지만, 이들 프로세스들은, 특히 큰 갭들에 대해, 긴 프로세싱 시간들 및 저 쓰루풋에 시달린다. 게다가, ALD 프로세스들의 컨포멀한 특성은 갭들의 종횡비들이 연속적인 사이클들에 따라 상승한다는 것을 의미한다. 따라서, 갭의 상단부는 하단부보다 신속하게 충진할 수도 있고, 갭 내로 전구체 재료들의 추가 확산을 방지한다. 보이드들이 고 종횡비 갭들의 중간에 형성될 수도 있도록 면적들이 팽창할 수 있다.
일부 경우들에서, 후속하는 증착 동작들 사이에 구별된 에칭 동작들을 필요로 하는, 증착-에칭-증착 프로세스들을 포함하여, 멀티-스텝 증착 프로세스들이 사용된다. 에칭은 갭 내 보이드 형성을 개선하거나 방지하도록 이루어질 수도 있다. 구체적으로, 에칭 단계는 갭 충진이 수직 기울기 대신 포지티브 테이퍼된 기울기로 후속하는 층을 증착함으로써 발생할 수 있도록 테이퍼된 포지티브 기울기 프로파일을 생성하는 이방성 에칭일 수 있다. 이는 갭 내 보이드 형성의 발생을 최소화할 수 있다. 보이드들은 고 저항, 오염, 충진된 재료들의 손실을 야기할 수도 있고, 그렇지 않으면 집적 회로들의 성능을 열화시킬 수도 있다.
본 개시는 증착 프로세스 및 에칭 프로세스를 수행하기 위한 통합된 장치에 관한 것이다. 통합된 장치는 프로세스 챔버를 포함하고, 프로세스 챔버는 샤워헤드 및 페데스탈을 포함한다. 통합된 장치는 LFRF (low-frequency radio-frequency) 생성기, HFRF (high-frequency radio-frequency) 생성기, 및 LFRF 생성기 및 HFRF 생성기 중 하나 또는 양자에 동작하게 커플링된 하나 이상의 스위치들을 더 포함한다. 하나 이상의 스위치들은, (1) 증착 프로세스를 수행하는 증착 모드로서, 증착 모드의 하나 이상의 스위치들은 적어도 HFRF 생성기를 샤워헤드에 커플링하는, 증착 모드와 (2) 에칭 프로세스를 수행하는 에칭 모드로서, 에칭 모드의 하나 이상의 스위치들은 HFRF 생성기 및 LFRF 생성기를 페데스탈에 커플링하고 샤워헤드를 접지하는, 에칭 모드 사이에서 스위칭하도록 구성된다.
일부 구현예들에서, 프로세스 챔버는 CCP (capacitively-coupled plasma) 반응기이고, 그리고 샤워헤드는 상단 전극을 포함하고 그리고 페데스탈은 하단 전극을 포함한다. 일부 구현예들에서, 증착 모드의 하나 이상의 스위치들은 HFRF 생성기 및 LFRF 생성기를 샤워헤드에 커플링하고 그리고 페데스탈을 접지한다. 일부 구현예들에서, 하나 이상의 스위치들은, LFRF 생성기 및 HFRF 생성기를 증착 모드의 샤워헤드에 전기적으로 접속하도록 구성된 제 1 스테이션 릴레이 스위치; 및 LFRF 생성기 및 HFRF 생성기를 에칭 모드의 페데스탈에 전기적으로 접속하도록 구성된 제 2 스테이션 릴레이 스위치를 포함한다. 일부 구현예들에서, 제 1 스테이션 릴레이 스위치는 LFRF 생성기 및 HFRF 생성기를 샤워헤드에 전기적으로 접속하기 위해 제 1 위치로 스위칭하고 그리고 샤워헤드를 접지하도록 제 2 위치로 스위칭하도록 구성되고, 그리고 제 2 스테이션 릴레이 스위치는 LFRF 생성기 및 HFRF 생성기를 페데스탈에 전기적으로 접속하기 위해 제 1 위치로 스위칭하고 그리고 페데스탈을 접지하도록 제 2 위치로 스위칭하도록 구성되고, 제 1 스테이션 릴레이 스위치의 제 1 위치는 제 2 스테이션 릴레이 스위치의 제 2 위치와 동기화되고, 제 2 스테이션 릴레이 스위치의 제 1 위치는 제 1 스테이션 릴레이 스위치의 제 2 위치와 동기화된다. 일부 구현예들에서, LFRF 생성기는 제 1 집적 회로 기판의 일부이고, 그리고 HFRF 생성기는 제 2 집적 회로 기판의 일부이다. 일부 구현예들에서, 하나 이상의 스위치들은 HFRF 생성기에 동작하게 커플링되고, 그리고 HFRF 생성기로부터 증착 모드의 샤워헤드로 전력을 전달하는 것과 HFRF 생성기로부터 에칭 모드의 페데스탈로 전력을 전달하는 것 사이에서 스위칭하도록 구성된 스위치를 포함한다.
본 개시는 또한 증착 프로세스 및 에칭 프로세스를 수행하는 통합된 장치에 관한 것이다. 통합된 장치는 프로세스 챔버를 포함하고, 프로세스 챔버는 샤워헤드 및 페데스탈을 포함한다. 통합된 장치는 집적 회로 기판을 더 포함하고, 집적 회로 기판은 하나 이상의 HF/LF RF 생성기들을 포함한다. 통합된 장치는 하나 이상의 HF/LF RF 생성기들에 동작하게 커플링된 하나 이상의 스위치들을 더 포함하고, 하나 이상의 스위치들은, (1) 증착 프로세스를 수행하는 증착 모드로서, 증착 모드의 하나 이상의 스위치들은 HF/LF RF 생성기들 중 적어도 하나를 샤워헤드에 커플링하는, 증착 모드와 (2) 에칭 프로세스를 수행하는 에칭 모드로서, 에칭 모드의 하나 이상의 스위치들은 HF/LF RF 생성기들 중 적어도 하나를 페데스탈에 커플링하는, 에칭 모드 사이에서 스위칭하도록 구성된다.
일부 구현예들에서, 프로세스 챔버는 CCP 반응기이고, 그리고 샤워헤드는 상단 전극을 포함하고, 그리고 페데스탈은 하단 전극을 포함한다. 일부 구현예들에서, 집적 회로 기판은 단일 HF/LF RF 생성기를 포함한다. 일부 구현예들에서, 하나 이상의 스위치들은, HF/LF RF 생성기들 중 하나를 증착 모드의 샤워헤드에 전기적으로 접속하도록 구성된 제 1 스테이션 릴레이 스위치; 및 HF/LF RF 생성기들 중 하나를 에칭 모드의 페데스탈에 전기적으로 접속하도록 구성된 제 2 스테이션 릴레이 스위치를 포함한다. 일부 구현예들에서, 제 1 스테이션 릴레이 스위치는 HF/LF RF 생성기들 중 하나를 증착 모드의 샤워헤드에 전기적으로 접속하기 위해 제 1 위치로 스위칭하고 그리고 샤워헤드를 접지하도록 제 2 위치로 스위칭하도록 구성되고, 그리고 제 2 스테이션 릴레이 스위치는 HF/LF RF 생성기들 중 하나를 에칭 모드의 페데스탈에 전기적으로 접속하기 위해 제 1 위치로 스위칭하고 그리고 페데스탈을 접지하도록 제 2 위치로 스위칭하도록 구성되고, 제 1 스테이션 릴레이 스위치의 제 1 위치는 제 2 스테이션 릴레이 스위치의 제 2 위치와 동기화되고, 제 2 스테이션 릴레이 스위치의 제 1 위치는 제 1 스테이션 릴레이 스위치의 제 2 위치와 동기화된다. 일부 구현예들에서, 하나 이상의 스위치들은, HF/LF RF 생성기들 중 하나가 샤워헤드에 동작하게 커플링될 때 증착 모드의 페데스탈을 접지하기 위한 페데스탈 접지 릴레이 스위치; 및 HF/LF RF 생성기들 중 하나가 페데스탈에 동작하게 커플링될 때 에칭 모드의 샤워헤드를 접지하기 위한 샤워헤드 접지 릴레이 스위치를 더 포함한다.
본 개시는 또한 웨이퍼의 하나 이상의 갭들을 충진하는 방법에 관한 것이다. 방법은 플라즈마 프로세싱 챔버 내의 페데스탈 상에 웨이퍼를 제공하는 단계로서, 웨이퍼는 각각 약 5:1보다 큰 깊이 대 폭 종횡비를 갖는 하나 이상의 갭들을 갖는, 웨이퍼를 제공하는 단계; 플라즈마 프로세싱 챔버에서, ALD를 통해 하나 이상의 갭들 내에 제 1 유전체 층을 증착하는 단계; 플라즈마 프로세싱 챔버에서, 기울기 제어를 사용하여 제 1 유전체 층을 이방성으로 에칭하는 단계; 및 플라즈마 프로세싱 챔버에서, ALD를 통해 하나 이상의 갭들 내의 제 1 유전체 층 위에 제 2 유전체 층을 증착하는 단계를 포함한다.
일부 구현예들에서, 제 1 유전체 층을 증착하는 동안, 기울기 제어를 사용하여 제 1 유전체 층을 이방성으로 에칭하는 동안, 그리고 제 2 유전체 층을 증착하는 동안 웨이퍼 온도는 약 80 ℃ 내지 약 400 ℃이다. 일부 구현예들에서, 제 1 유전체 층을 증착하는 동안, 기울기 제어를 사용하여 제 1 유전체 층을 이방성으로 에칭하는 동안, 그리고 제 2 유전체 층을 증착하는 동안 압력은 약 0.3 내지 약 1.0 Torr이다. 일부 구현예들에서, 방법은 기울기 제어를 사용하여 제 1 유전체층을 이방성으로 에칭하기 전에 플라즈마 프로세싱 챔버 내의 페데스탈에 저주파수 전력 및 고주파수 전력을 인가하고 플라즈마 프로세싱 챔버 내의 샤워헤드를 접지하도록 스위칭하는 단계; 및 제 2 유전체 층을 증착하기 전에 플라즈마 프로세싱 챔버 내 샤워헤드에 고주파수 전력을 인가하고 플라즈마 프로세싱 챔버 내 페데스탈을 접지하도록 스위칭하는 단계를 더 포함한다.
이들 및 다른 실시예들은 도면들을 참조하여 이하에 더 기술된다.
도 1a 내지 도 1c는 증착-에칭-증착 갭 충진 프로세스의 다양한 페이즈들에서 갭을 갖는 웨이퍼의 예시적인 단면도들을 도시한다.
도 2는 종래의 증착-에칭-증착 갭 충진 프로세스에서 증착 프로세스들을 수행하기 위한 예시적인 장치의 개략적인 예시를 도시한다.
도 3은 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 4a는 증착 프로세스들을 수행하기 위한 CCP (capacitively-coupled plasma) 반응기를 포함하는 예시적인 장치의 개략적인 예시를 도시한다.
도 4b는 에칭 프로세스들을 수행하기 위한 CCP 반응기를 포함하는 예시적인 장치의 개략적인 예시를 도시한다.
도 5는 일부 구현예들에 따라 증착 모드와 에칭 모드 사이를 스위칭하도록 구성된 플라즈마 프로세싱 챔버를 포함하는 예시적인 통합된 장치의 개략적인 예시를 도시한다.
도 6은 일부 구현예들에 따라 증착 모드와 에칭 모드 사이를 스위칭하기 위한 예시적인 스킴의 블록도를 도시한다.
도 7은 일부 구현예들에 따라 증착 모드와 에칭 모드 사이를 스위칭하기 위한 대안적인 예시적인 스킴의 블록도를 도시한다.
도 8은 일부 구현예들에 따라 증착 모드와 에칭 모드 사이를 스위칭하도록 구성된 플라즈마 프로세싱 챔버를 포함하는 예시적인 통합된 장치의 개략적인 예시를 도시한다.
도 9a는 증착-에칭-증착 갭 충진 프로세스들을 수행하기 위한 예시적인 종래의 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 9b는 증착-에칭-증착 갭 충진 프로세스들을 수행하기 위한 개시된 통합된 장치를 포함하는 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 10은 웨이퍼 상의 증착-에칭-증착 갭 충진 프로세스를 수행하기 위한 예시적인 프로세스 흐름을 예시하는 흐름도를 도시한다.
도입
이하의 기술에서, 다수의 구체적인 상세들이 제시된 개념들의 전체적인 이해를 제공하기 위해 언급된다. 제시된 개념들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 일부 개념들이 구체적인 실시예들에 관하여 기술되지만, 이들 실시예들이 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로" 는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로" 가 그 위에서의 집적 회로 제조의 많은 단계들 중 임의의 많은 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 설명은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 부가하여, 본 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들 등과 같은 다양한 물품들을 포함한다.
반도체 산업계에서 회로 밀도들이 상승함에 따라, 웨이퍼의 갭들 또는 트렌치들의 폭이 감소되어, 종횡비들을 상승시키고 보이드들을 남기지 않고 갭들 또는 트렌치들을 충진하기 점점 더 어렵게 한다. 갭이 완전히 충진되지 않을 때 보이드들의 형성은 완성된 디바이스의 동작에 부정적인 영향을 줄 수 있다.
증착-에칭-증착 시퀀스들은 갭 충진 시 보이드들의 존재를 개선하거나 제거하도록 채용된다. 증착-에칭-증착 시퀀스들에 사용되는 일반적인 증착 기법들은 ALD, CVD, PECVD, 및 HDP-CVD이다. 증착 단계는 에칭 단계, 예컨대 HDP 애플리케이션들에서 스퍼터 에칭 또는 ALD 애플리케이션들에서 RIE (reactive ion etch) 에 이어질 수도 있다. 에칭 단계는 테이퍼된 포지티브 기울기 프로파일을 생성하는 이방성 에칭 단계일 수도 있다. 그 결과, 갭 내부로부터보다 갭의 개구부 근방에서 보다 많은 재료가 제거될 수도 있다.
도 1a 내지 도 1c는 증착-에칭-증착 갭 충진 프로세스의 다양한 페이즈들에서 갭을 갖는 웨이퍼의 예시적인 단면들을 도시한다. 도 1a는 갭 (102) 을 포함하는 비평면형 웨이퍼 (100) 의 단면을 도시한다. 갭 폭은 다양한 실시예들에 따라 가변할 수 있고, 약 5 Å 내지 약 50 ㎛의 범위일 수도 있다. 깊이 대 폭 종횡비들은 약 2:1 초과, 또는 약 5:1 초과, 또는 약 10:1 초과, 또는 심지어 약 30:1 초과일 수 있다. 갭 (102) 은 임의의 적합한 증착 기법, 예컨대 ALD, CVD, PECVD, 및 HDP-CVD를 사용하여 박막 (104) 으로 커버될 수 있다. 일부 실시예들에서, 박막 (104) 은 갭 (102) 과 컨포멀하거나 거의 컨포멀할 수 있다. 도 1a에 도시된 바와 같이, 박막 (104) 은 갭 (102) 의 상단 근방에 재차 들어간 부분 (106) 을 포함한다.
도 1b에서, 이방성 에칭이 박막 (104) 에 적용된다. 박막 (104) 의 재차 들어간 부분 (106) 은 박막 (104) 의 상부 영역 (104a) 이 하부 영역 (104b) 보다 얇도록 이방성 에칭에 의해 선택적으로 제거될 수 있다. 예를 들어, 이방성 에칭은 활성 에칭 종에 대한 대량 이송 제한들 및/또는 수명 제한들을 부과함으로써 달성될 수도 있다. 일부 구현예들에서, 갭 (102) 의 상단부에서 선택적인 에칭은 갭 (102) 이 하단부에서보다 상단부에서 보다 넓도록 갭 (102) 의 측벽 각도를 또한 조정할 수도 있다. 이는 후속 증착 페이즈들에서 브레드 로핑 효과들 (bread loafing effects) 을 더 감소시킬 수도 있다.
도 1c에서, 후속 증착 단계가 갭 (102) 을 충진하거나 거의 충진하도록 적용된다. 일부 구현예들에서, 갭 (102) 은 복수의 증착-에칭-증착 시퀀스들 후에 증착될 수도 있다. 갭 (102) 은 보이드들이 없을 수도 있다 (free). 갭 (102) 은 임의의 적합한 증착 기법, 예컨대 ALD, CVD, PECVD, HDP-CVD, 등을 사용하여 충진될 수 있다.
일반적인 갭 충진 프로세스들은 HDP-CVD 시스템들을 채용할 수도 있다. HDP-CVD 시스템들은 표준 시스템들은 CCP-CVD 시스템들의 밀도보다 적어도 대략 두 자릿수 클 수 있는 플라즈마를 형성한다. HDP-CVD 시스템들은 통상적으로 ICP (inductively-coupled plasma) 시스템들이다. 증착 및 에칭을 달성하기 위해 ICP 반응기를 갖는 예시적인 HDP-CVD 시스템은 California, Fremont의 Lam Research Corporation로부터 입수가능한 Speed™ 시스템이다. 일부 HDP-CVD 기법들은 막 증착과 동시에 일어날 수 있는 고밀도 플라즈마에 의한 스퍼터링을 촉진한다. 그 결과, 증착 및 에칭은 HDP 증착 프로세스들의 스퍼터링 컴포넌트가 특정한 피처들, 예컨대 모서리들 또는 상승된 표면들의 증착을 늦추기 때문에, 동시에 일어난다고 할 수 있고, 따라서 개선된 갭 충진에 기여한다. 그러나, 이러한 HDP-CVD 기법들에서 스퍼터링은 갭의 측벽들 상에 원치 않은 재증착을 야기할 수도 있다. 일부 HDP-CVD 기법들은 별도의 증착 단계 및 에칭 단계를 채용할 수도 있다. 에칭 단계 동안, 재료는 이방성 스퍼터링 에칭에 의해 컨포멀하지 않게 제거될 수도 있다. 모서리들의 재료는 갭의 측벽들을 따라 짧은 거리들에 걸쳐 제거될 수도 있다. 그러나, 이러한 이방성 스퍼터링 에칭은 갭 충진을 방해할 수 있는 재증착 커스프들을 발생시킬 수 있다. HDP-CVD 기법들이 단일 챔버 또는 장치 내에서 갭 충진 프로세스들을 수행할 수도 있지만, HDP-CVD 기법들로부터 증착된 막은 컨포멀하지 않고 단일 챔버 또는 장치 내에서 수행될 증착-에칭-증착 시퀀스들의 적용을 실제로 제한할 수도 있다.
갭 충진을 수행시 HDP-CVD 기법들의 제한들이 주어지면, ALD 프로세스들은 개선된 컨포멀성을 제공하도록 사용될 수도 있다. CVD 프로세스들과 반대로, ALD 프로세스들은 층 단위 (layer-by-layer basis) 상에 막들을 증착하도록 표면-매개 증착 반응들을 사용한다. 일부 구현예들에서, ALD 프로세스들은 CCP 시스템 내, 예컨대 도 2에 예시된 CCP 시스템 내에서 수행될 수도 있다. CCP 시스템은 플라즈마를 생성하도록 고주파수 RF 전력을 공급할 수도 있다. 이러한 CCP 시스템의 예는 California, Fremont의 Lam Research Corporation로부터 입수가능한 Vector™ 시스템이다.
도 2는 증착-에칭-증착 갭 충진 프로세스에서 증착 프로세스들을 수행하기 위한 예시적인 장치의 개략적인 예시를 도시한다. 도 2에 도시된 바와 같이, 장치 (200) 는 장치 (200) 의 다른 컴포넌트들을 둘러싸고 플라즈마를 담도록 역할을 하는 프로세스 챔버 (224) 를 포함한다. 프로세스 챔버 (224) 는 프로세스 챔버 (224) 내로 프로세스 가스들을 전달하기 위한 샤워헤드 (214) 를 포함한다. HFRF (high-frequency radio-frequency) 생성기 (204) 는, 샤워헤드 (214) 에 연결된 임피던스 매칭 네트워크 (206) 에 연결될 수도 있다. LFRF (low-frequency radio-frequency) 생성기 (202) 는 샤워헤드 (214) 에 연결하기 위해 임피던스 매칭 네트워크 (206) 에 연결될 수도 있다. 임피던스 매칭 네트워크 (206) 에 의해 공급된 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기 충분하다. 통상적인 프로세스들에서, HFRF 생성기 (204) 에 의해 생성된 주파수는 약 2 내지 60 ㎒, 예컨대 13.56 ㎒ 또는 27 ㎒이다. LFRF 생성기 (202) 에 의해 생성된 주파수는 약 250 내지 400 ㎑, 예컨대 350 ㎑ 또는 400 ㎑이다.
프로세스 챔버 (224) 는 웨이퍼 지지부 또는 페데스탈 (218) 을 더 포함한다. 페데스탈 (218) 은 웨이퍼 (216) 를 지지할 수 있다. 페데스탈 (218) 은 프로세싱 동안 및 프로세싱 사이에 웨이퍼 (216) 를 홀딩하기 위한 척, 포크, 및/또는 리프트 핀들을 포함할 수 있다. 일부 구현예들에서, 척은 정전 척일 수도 있다.
프로세스 가스들은 유입부 (212) 를 통해 도입된다. 하나 이상의 소스 가스 라인들 (210) 은 매니폴드 (208) 에 연결될 수 있다. 프로세스 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 증착, 에칭 및 다른 플라즈마 처리 동작들 동안 정확한 가스들이 전달된다는 것을 보장하도록 적절한 밸브 및 질량 유량 메커니즘들이 채용된다. 프로세스 가스들은 유출부 (222) 를 통해 프로세스 챔버 (224) 를 나갈 수도 있다. 진공 펌프 (226) 는 통상적으로 프로세스 가스들을 인출할 수 있고, 프로세스 챔버 (224) 내에서 적절히 저압을 유지할 수 있다.
도 2에 도시된 바와 같이, 장치 (200) 는 샤워헤드 (214) 가 접지된 블록 (220) 과 함께 작용하는 전극인 커패시터 타입 시스템이다. 즉, 장치 (200) 는 CCP 시스템이고 프로세스 챔버 (224) 의 상단, 즉 샤워헤드 (214) 에 고주파수 RF 전력을 공급할 수도 있다. 프로세스 챔버 (224) 의 하단부, 즉 페데스탈 (218) 및 블록 (220) 은 접지된다.
증착-에칭-증착 시퀀스들을 수행하기 위한 하나 이상의 장치들, 예컨대 장치 (200) 는 멀티-스테이션 프로세싱 툴에서 수행될 수도 있다. 도 3은 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다. 멀티-스테이션 프로세싱 툴 (300) 은 인바운드 로드 록 (302) 및 아웃바운드 로드 록 (304) 을 포함할 수도 있고, 인바운드 로드 록 및 아웃바운드 로드 록 중 하나 또는 양자는 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (306) 은 대기 포트 (310) 를 통해 인바운드 로드 록 (302) 으로 로딩된 카세트로부터 포드 (308) 를 통해 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (306) 에 의해 인바운드 로드 록 (302) 내의 페데스탈 (312) 상에 위치되고, 대기 포트 (310) 는 닫히고, 로드 록 (302) 은 펌프다운된다. 인바운드 로드 록 (302) 이 플라즈마 소스를 포함하면, 웨이퍼는 프로세스 챔버 (314) 로 도입되기 전에 인바운드 로드 록 (302) 내에서 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드 록 (302) 내에서 히팅될 수도 있다. 다음에 프로세스 챔버 (314) 로의 챔버 이송 포트 (316) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 웨이퍼를 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내에 배치한다. 도 3에 도시된 실시예가 로드 록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로의 웨이퍼의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세스 챔버 (314) 는 도 3에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 318로 도시됨), 및 가스 라인 유입부들을 가질 수 있다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PECVD 모드 사이에서 스위칭할 수도 있다. 본 개시에 따라 이하에 논의된 바와 같이, 일부 실시예들에서, 프로세스 스테이션은 증착 모드와 에칭 모드 사이에서 스위칭가능한 CCP 반응기를 포함할 수도 있다. 도시된 프로세스 챔버 (314) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세스 챔버 (314) 는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 챔버 (314) 는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 3은 또한 프로세스 챔버 (314) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (390) 을 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (390) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템들이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 3은 또한 멀티-스테이션 프로세싱 툴 (300) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (350) 를 도시한다. 시스템 제어기 (350) 는 하나 이상의 메모리 디바이스들 (356), 하나 이상의 대용량 저장 디바이스들 (354), 및 하나 이상의 프로세서들 (352) 을 포함할 수도 있다. 프로세서 (352) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (350) 는 멀티-스테이션 프로세스 툴 (300) 의 모든 액티비티들을 제어한다. 시스템 제어기 (350) 는 대용량 저장 장치 (354) 에 저장되고 메모리 디바이스 (356) 내로 로딩되어 프로세서 (352) 상에서 실행되는 시스템 제어 소프트웨어 (358) 를 실행한다. 시스템 제어 소프트웨어 (358) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 웨이퍼 및/또는 페데스탈 위치, 증착 및 에칭 모드 스위칭, 멀티-스테이션 프로세스 툴 (300) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (358) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 개시된 방법들에 따라 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (358) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (358) 는 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, ALD 프로세스의 페이즈 각각은 시스템 제어기 (350) 에 의한 실행을 위해 하나 이상의 인스트럭션들을 포함할 수도 있다. 이에 더하여, 증착 모드로부터 에칭 모드로의 스위칭은 시스템 제어기 (350) 에 의한 실행을 위해 하나 이상의 인스트럭션들을 포함할 수도 있다. ALD 프로세스를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 ALD 레시피 페이즈에 포함될 수도 있고, 이방성 에칭 프로세스를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 에칭 레시피 페이즈에 포함될 수도 있다. 일부 구현예들에서, ALD 레시피 페이즈 및 에칭 레시피 페이즈는 순차적으로 구성될 수도 있다.
시스템 제어기 (350) 와 연관된 대용량 저장 디바이스 (354) 및/또는 메모리 디바이스 (356) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 웨이퍼 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
웨이퍼 포지셔닝 프로그램은 페데스탈 (318) 상에 기판을 로딩하고 웨이퍼와 프로세스 툴 (300) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 웨이퍼를 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (350) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (350) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 증착 및 에칭 모드, 웨이퍼 온도, 압력, (RF 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (350) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 멀티-스테이션 프로세스 툴 (300) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (350) 는 증착-에칭-증착 갭 충진 프로세스들을 포함하여, 개시된 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 전력 레벨, RF 바이어스 전력 레벨, 압력, 웨이퍼 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 증착-에칭-증착 시퀀스들을 동작시키기 위한 파라미터들을 제어할 수도 있다.
증착 모드 및 에칭 모드
통상적으로, CCP 반응기에서의 증착은 특정한 하드웨어 구성들에서 발생할 수도 있고, CCP 반응기에서의 에칭은 상이한 하드웨어 구성들에서 발생할 수도 있다. 구체적으로, CCP 반응기에서의 ALD는 특정한 RF 하드웨어 구성들에 따라 최적화될 수도 있고, CCP 반응기에서의 에칭은 상이한 RF 하드웨어 구성들에 따라 최적화될 수도 있다. 도 4a 및 도 4b는 CCP 반응기에서 증착 및 에칭을 수행하기 위한 상이한 RF 하드웨어 구성들을 도시한다. 도 4a에서, 웨이퍼는 증착 동안 접지된 전극 상에 지지되고, 상단 전극은 전력이 공급된다. 도 4b에서, 웨이퍼는 에칭 동안 전력이 공급된 전극 상에 지지되고 상단 전극은 접지된다.
도 4a는 증착 프로세스들을 수행하기 위한 CCP 반응기를 포함하는 예시적인 장치의 개략적인 예시를 도시한다. 장치 (400a) 는 PECVD 또는 ALD를 수행할 수 있는 CCP 반응기 (424) 를 포함한다. CCP 반응기 (424) 는 상단 전극으로 역할을 하는 샤워헤드 (414) 및 하단 전극으로 역할을 하는 페데스탈 (418) 을 포함한다. 페데스탈 (418) 은 샤워헤드 (414) 아래에 그리고 반대편에 있고, 프로세싱될 웨이퍼 (416) 를 지지할 수도 있다. 일부 구현예들에서, 웨이퍼 (416) 가 평면형이 아니도록, 웨이퍼 (416) 는 하나 이상의 피처들을 가질 수도 있다. 예를 들어, 웨이퍼 (416) 는 하나 이상의 갭들 또는 복수의 갭들을 가질 수도 있다. 일부 실시예들에서, 페데스탈 (418) 은 상승되거나 하강될 수도 있다. 프로세스 가스들은 가스 유입부 (412) 를 통해 샤워헤드 (414) 로 도입되고, 샤워헤드 (414) 는 프로세스 가스들을 CCP 반응기 (424) 내로 그리고 웨이퍼 (416) 를 향해 분배한다. RF 전력 공급부 (402) 는 샤워헤드 (414) 와 웨이퍼 (416) 사이의 볼륨에서 플라즈마 (430a) 를 생성하기 위한 샤워헤드 (414) 에 전기적으로 접속될 수도 있다. 도 4a의 하드웨어 구성의 플라즈마 (430a) 는 증착을 위해 최적화될 수 있다. 일부 실시예들에서, 플라즈마 에너지는 챔버 압력, 가스 농도, 가스 혼합물, RF 소스 전력, RF 소스 주파수, 듀티 사이클, 펄스 주파수, 등 중 하나 이상을 제어함으로써 제어될 수 있다.
도 4a는 RF 전력 공급부 (402) 가 샤워헤드 (414) 에 전기적으로 접속된 HFRF 생성기일 수 있고, 페데스탈 (418) 이 접지되는 증착을 위한 예시적인 RF 하드웨어 구성을 예시한다. 도 4a의 RF 하드웨어 구성은 일반적으로 웨이퍼 (416) 에 걸쳐 불충분한 전압 강하가 생성될 수 있기 때문에 충분한 에칭 레이트들을 제공할 수 없다. 그러나, 도 4a의 RF 하드웨어 구성은 ALD 애플리케이션에서 중요할 수 있는, 고속 주파수 튜닝할 수 있다.
고속 주파수 튜닝은 도 4a의 RF 하드웨어 구성에서 임피던스 매칭으로 하여금 신속하게 발생하게 한다. 임피던스 매칭은 전력 이송을 최적화하고 부하로부터 반사를 최소화기 위해 대응하는 신호 소스의 출력 임피던스 또는 전기 부하의 입력 임피던스를 설계 실무이다. 플라즈마 프로세싱 맥락에서, 임피던스 매칭은 송신선 (예를 들어, RF 케이블들) 내로 플라즈마 방전부로부터 반사된 전력을 최소화하고, 그리고 RF 전력 공급부 (402) 로부터 플라즈마 방전부로 이송된 전력을 최대화하도록 사용된다. 이에 더하여, RF 전력 공급부 (402) 가 매칭되지 않는다면, 반사된 전력이 소스 (RF 전력 공급부 (402)) 와 부하 (플라즈마 (430a)) 간의 송신선 상에 정재파를 구축하고, 이는 추가 전력 소모를 야기하고 주파수 종속 손실을 유발할 수 있다. 일부 구현예들에서, 임피던스 매칭 네트워크 (미도시) 는 RF 전력 공급부 (402) 에 커플링될 수 있다. 임피던스 매칭 네트워크는 RF 전력 공급부 (402) 의 소스 임피던스와 매칭하도록 플라즈마 (430a) 로부터 제시된 부하 임피던스를 변환할 수 있다. 통상적으로, 임피던스 매칭 네트워크는 플라즈마 임피던스와 매칭하도록 RF 전력 공급부 (402) 의 임피던스를 튜닝하기 위한 하나 이상의 커패시터들 또는 인덕터들을 구비할 수 있다. 그러나, 커패시터들 또는 인덕터들을 사용하여 임피던스를 튜닝하는 것은 긴 프로세스일 수 있고, 짧은 플라즈마 온 시간들을 필요로 하는 애플리케이션들에서 바람직하지 않을 수 있다. 예를 들어, ALD 윈도우에서 동작하도록, 프로세스들은 약 0.5 초 이하로 일어날 수 있다. 커패시터들 또는 인덕터들을 사용하여 임피던스를 매칭하는 대신, 임피던스 매칭은 RF 전력 공급부 (402) 의 주파수를 단순히 스위칭함으로써 일어날 수 있다. 예시를 위해, RF 전력 공급부 (402) 의 임피던스가 50 Ω에서 플라즈마 임피던스를 매칭해야 하고, 이어서 RF 전력 공급부 (402) 는 13.56 ㎒에서의 동작으로부터 13.8 ㎒로 신속하게 스위칭할 수 있다. 이러한 종류의 고속 주파수 튜닝은 도 4b에 예시된 바와 같은, 다른 RF 하드웨어 구성들에서 가능하지 않을 수도 있다.
도 4b는 에칭 프로세스들을 수행하기 위한 CCP 반응기를 포함하는 예시적인 장치의 개략적인 예시를 도시한다. 장치 (400b) 는 플라즈마 에칭을 수행할 수 있는 CCP 반응기 (424) 를 포함한다. 도 4a의 장치 (400a) 와 같이, 도 4b의 장치 (400b) 는 샤워헤드 (414), 페데스탈 (418), 웨이퍼 (416), 및 가스 유입부 (412) 를 포함한다. RF 전력 공급부 (404, 406) 는 웨이퍼 (416) 에 걸쳐 전압 강하를 인가하기 위해 페데스탈 (418) 에 전기적으로 접속될 수도 있다. RF 전력 공급부 (404, 406) 는 LFRF 생성기 (404) 및 HFRF 생성기 (406) 양자를 포함할 수도 있다. 플라즈마 (430b) 는 샤워헤드 (414) 와 웨이퍼 (416) 사이의 볼륨에서 생성될 수도 있다. 도 4b의 하드웨어 구성의 플라즈마 (430b) 는 에칭을 위해 최적화될 수도 있다.
도 4b는 LFRF 생성기 (404) 및 HFRF 생성기 (406) 가 페데스탈 (418) 에 전기적으로 접속될 수 있고, 샤워헤드 (414) 가 접지되는, 에칭을 위한 예시적인 RF 하드웨어 구성을 예시한다. 일부 구현예들에서, LFRF 생성기 (404) 는 약 2 ㎐ 내지 약 1000 ㎑, 예컨대 400 ㎑의 저주파수 RF 신호를 제공할 수 있다. 일부 구현예들에서, HFRF 생성기 (406) 는 약 1 ㎒ 내지 약 100 ㎒, 예컨대 13.56 ㎒의 고주파수 RF 신호를 제공할 수 있다. 차단 커패시터 (432) 는 페데스탈 (418) 과 LFRF 생성기 (404) 및 HFRF 생성기 (406) 양자 사이에 위치될 수 있다. 고주파수 신호 및 저주파수 신호 양자를 혼합하면, 차단 커패시터 (432) 가 페데스탈 (418) 에 앞서는 필터로서 역할을 할 수 있다. 도 4b의 RF 구성은 고속 주파수 튜닝할 수 없다. 이는 주파수 튜닝에 필수적인 고속 응답을 방지할 RF 경로의 컴포넌트들의 수에 부분적으로 기인한다. 따라서, ALD 프로세스들은 일반적으로 도 4b에서 페데스탈 (418) 이 바이어싱되는 조건들에서 동작할 수 없다. 그러나, 도 4a의 RF 구성과 달리, 도 4b의 RF 구성은 웨이퍼 (416) 에 걸친 고 전압 강하를 제공할 수 있다.
증착-에칭-증착 통합된 장치
일 프로세스에 대해 최적화되지만 다른 프로세스에 대해서는 최적화되지 않은 RF 하드웨어 구성에서 증착 및 에칭을 수행하는 대신, 그리고 증착-에칭-증착 시퀀스들을 구현하기 위해 일 장치로부터 또 다른 장치로 웨이퍼를 일정하게 이송하는 대신, 본 개시는 증착 및 에칭 양자에 대해 최적화되고, 단일 장치에서 증착-에칭-증착 시퀀스들을 통합하는, 통합된 장치를 제공한다. 통합된 장치는 상이한 하드웨어 컴포넌트들, 예컨대 릴레이 스위치들, DO (digital output) 비트 스위치들, 집적 회로 기판들 (예를 들어, 스플리터 보드들), RF 생성기들, 동축 케이블들, 스위치박스들, RF 필터들, 매칭 유닛들, 등의 조합을 사용하여 증착 모드 및 에칭 모드 양자에 대해 최적화되는 RF 하드웨어 구성을 제공할 수 있다.
도 5는 일부 구현예들에 따른 증착 모드와 에칭 모드 간을 스위칭하도록 구성된 플라즈마 프로세싱 챔버를 포함하는 예시적인 통합된 장치의 개략적인 예시를 도시한다. 통합된 장치 (500) 는 플라즈마 프로세싱 챔버 (524) 를 포함하고, 플라즈마 프로세싱 챔버 (524) 는 프로세스 가스들을 전달하기 위한 샤워헤드 (514) 및 웨이퍼를 지지하기 위한 페데스탈 (518) 을 포함한다. 플라즈마 프로세싱 챔버 (524) 는 CCP 반응기일 수 있고, 샤워헤드 (514) 는 상단 전극을 포함하고, 페데스탈 (518) 은 하단 전극을 포함한다. 통합된 장치 (500) 는 샤워헤드 (514) 및 페데스탈 (518) 로 RF 전력을 공급하기 위한 복수의 전력 소스들을 포함할 수 있다. 일부 구현예들에서, 통합된 장치 (500) 는 LFRF 생성기 (504) 및 HFRF 생성기 (502) 를 포함할 수 있다. LFRF 생성기 (504) 및 HFRF 생성기 (502) 는 하나 이상의 스위치들 (564, 568) 을 통해 샤워헤드 (514) 또는 페데스탈 (518) 에 동작하게 커플링될 수도 있다. 본 명세서에 사용된 바와 같이, 서로 "동작하게 커플링된" 컴포넌트들은 전기적으로 접속되거나 그렇지 않으면 제어 디바이스 (예를 들어, 스위치, 시스템 제어기, 등) 의 동작들에 따라 서로 커플링된 컴포넌트들을 지칭한다. 통합된 장치 (500) 는 LFRF 생성기 (504) 및 HFRF 생성기 (502) 중 하나 또는 양자에 동작하게 커플링된 하나 이상의 스위치들 (564, 568) 을 포함할 수 있다. 스위치들 (564, 568) 은 (1) 증착 모드의 스위치들 (564, 568) 이 적어도 HFRF 생성기 (502) 를 샤워헤드 (514) 에 커플링하는, 증착 프로세스를 수행하는 증착 모드와 (2) 에칭 모드의 스위치들 (564, 568) 이 HFRF 생성기 (504) 및 LFRF 생성기 (502) 를 페데스탈 (518) 에 커플링하고 샤워헤드 (514) 를 접지하는, 에칭 프로세스를 수행하는 에칭 모드 사이에서 스위칭하도록 구성될 수도 있다. HFRF 생성기 (502) 가 증착 모드의 샤워헤드 (514) 에 커플링될 때, HFRF 생성기 (502) 는 RF 전력을 샤워헤드 (514) 로 전달할 수 있다. HFRF 생성기 (502) 및 LFRF 생성기 (504) 는 에칭 모드의 페데스탈 (518) 에 커플링될 때, HFRF 생성기 (502) 및 LFRF 생성기 (504) 는 RF 전력을 페데스탈 (518) 로 전달할 수 있다.
도 5에서, 스위치들 (564, 568) 은 필터들로 대체될 수 있고, 필터들은 (1) 고주파수 신호들을 샤워헤드 (514) 로 선택적으로 통과시키는, 증착 프로세스를 수행하는 증착 모드와 (2) 샤워헤드 (514) 를 접지하는 동안 고주파수 신호들 및 저주파수 신호들 중 하나 또는 양자를 페데스탈 (518) 로 선택적으로 통과시키는, 에칭 프로세스를 수행하는 에칭 모드 사이에서 스위칭할 수 있다.
도 5에 도시된 바와 같이, 하나 이상의 스위치들 (564, 568) 은 LFRF 생성기 (504) 및 HFRF 생성기 (502) 를 증착 모드의 샤워헤드 (514) 에 커플링하도록 구성된 제 1 스테이션 릴레이 스위치 (564) 를 포함한다. 제 1 위치에서, 제 1 스테이션 릴레이 스위치 (564) 는 LFRF 생성기 (504) 및 HFRF 생성기 (502) 를 샤워헤드 (514) 에 전기적으로 접속한다. 즉, 샤워헤드 (514) 는 증착 모드에서 전력이 공급된다. 제 2 위치에서, 제 1 스테이션 릴레이 스위치 (564) 는, 샤워헤드 (514) 가 전기적으로 접지되도록 전기적으로 접지된다. 도 5에 도시된 바와 같이, 하나 이상의 스위치들 (564, 568) 은 HFRF 생성기 (502) 및 LFRF 생성기 (504) 를 에칭 모드의 페데스탈 (518) 에 커플링하도록 구성된 제 2 스테이션 릴레이 스위치 (568) 를 포함한다. 제 1 위치에서, 제 2 스테이션 릴레이 스위치 (568) 는 HFRF 생성기 (502) 및 LFRF 생성기 (504) 를 페데스탈 (518) 에 전기적으로 접속한다. 즉, 페데스탈 (518) 은 에칭 모드에서 바이어싱된다. 제 2 위치에서, 제 2 스테이션 릴레이 스위치 (568) 는, 페데스탈 (518) 이 전기적으로 접지되도록 전기적으로 접지된다.
일부 구현예들에서, 통합된 장치 (500) 는 LFRF 생성기 (504) 에 커플링된 저주파수 매칭 유닛 (505) (또는 저주파수 임피던스 매칭 네트워크 (505)) 을 포함할 수 있다. 일부 실시예들에서, 통합된 장치 (500) 는 HFRF 생성기 (502) 에 커플링된 고주파수 매칭 유닛 (503) (또는 고주파수 임피던스 매칭 네트워크 (503)) 을 포함할 수 있다. 일부 구현예들에서, 통합된 장치 (500) 는 고주파수 신호 또는 저주파수 신호를 선택적으로 통과시키기 위한 하나 이상의 필터들을 포함할 수 있다. 통합된 장치 (500) 는 저주파수 매칭 유닛 (505) 에 커플링된 저역 통과 필터 (554), 및 고주파수 매칭 유닛 (503) 에 커플링된 고역 통과 필터 (552) 를 포함할 수 있다. 일부 실시예들에서, 저역 통과 필터 (554) 및 고역 통과 필터 (552) 각각은 하나 이상의 커패시터들 및 인덕터들을 포함한다. 저역 통과 필터 (554) 는 고주파수 신호들이 LFRF 생성기 (504) 로 돌아가는 것을 방지할 수 있고, 고역 통과 필터 (552) 는 저주파수 신호들이 HFRF 생성기 (502) 로 돌아가는 것을 방지할 수 있다. 일부 구현예들에서, 저역 통과 필터 (554) 및 고역 통과 필터 (552) 각각은 플라즈마 프로세싱 챔버 (524) 만이 아니라 복수의 플라즈마 프로세싱 챔버들을 위한 RF 필터들로서 역할을 할 수 있다. 일부 구현예들에서, 차단 필터 (532) 는 고주파수 신호들 또는 저주파수 신호들을 선택적으로 차단하기 위해 LFRF 생성기 (504) 와 페데스탈 (518) 및 샤워헤드 (514) 양자 사이에 삽입될 수 있다. 차단 필터 (532) 는 도 4b의 RF 하드웨어 구성의 차단 커패시터 (432) 와 유사하게 기능할 수 있다.
도 5에서, 통합된 장치 (500) 는 증착 모드와 에칭 모드 사이에서 선택적으로 스위칭가능하다. 특정한 실시예들에 따른 증착 모드에서, 제 1 스테이션 릴레이 스위치 (564) 는 LFRF 생성기 (504) 및 HFRF 생성기 (502) 가 샤워헤드 (514) 에 전기적으로 접속되도록 제 1 위치로 스위칭되고, 제 2 스테이션 릴레이 스위치 (568) 는 페데스탈 (518) 이 접지되도록 제 2 위치에 동시에 스위칭된다. 이러한 구성은 ALD에 대해 활용될 수도 있다. 대안적인 구현예들에 따른 증착 모드에서, 제 1 스테이션 릴레이 스위치 (564) 는 LFRF 생성기 (504) 및 HFRF 생성기 (502) 가 샤워헤드 (514) 에 전기적으로 접속되도록 제 1 위치로 스위칭되고, 제 2 스테이션 릴레이 스위치 (568) 는 LFRF 생성기 (504) 및 HFRF 생성기 (502) 가 페데스탈 (518) 에 전기적으로 접속되도록 제 1 위치로 동시에 스위칭된다. 일부 구현예들에서, 차단 필터 (532) 는 저주파수 신호들이 샤워헤드 (514) 에 도달하는 것을 방지할 수 있다. 특정한 구현예들에 따른 에칭 모드에서, 제 2 스테이션 릴레이 스위치 (568) 는 LFRF 생성기 (504) 및 HFRF 생성기 (502) 가 페데스탈 (518) 에 전기적으로 접속되도록 제 1 위치로 스위칭되고, 제 1 스테이션 릴레이 스위치 (564) 는 샤워헤드 (514) 가 접지되도록 제 2 위치로 동시에 스위칭된다. 일부 구현예들에서, 차단 필터 (532) 는 저주파수 신호들 또는 고주파수 신호들이 페데스탈 (518) 에 도달하는 것을 방지할 수 있다. 일부 구현예들에서, 저주파수 신호들 및 고주파수 신호들 양자는 에칭 모드의 페데스탈 (518) 을 바이어싱하도록 사용될 수 있다.
도 5의 RF 하드웨어 구성은 증착-에칭-증착 시퀀스들이 단일 통합된 장치 (500) 에서 수행될 수 있도록 스위치들 (564, 568) 을 사용하여 증착 모드 및 에칭 모드를 최적화할 수도 있다. 부가적으로, 도 5의 RF 하드웨어 구성은 HFRF 생성기 (502) 및 LFRF 생성기 (504) 양자를 수용하도록 복수의 집적 회로 기판들 (예를 들어, 스플리터 보드들) 을 활용할 수도 있다. 스플리터 보드는 신호로 하여금 일 플라즈마 프로세싱 챔버, 예컨대 플라즈마 프로세싱 챔버 (524) 뿐만 아니라, 복수의 플라즈마 프로세싱 챔버들로 분배되게 한다. 스플리터 보드 각각은 복수의 채널들을 갖는 복수의 스테이션들을 포함할 수 있다. 일 스플리터 보드는 LFRF 생성기 (504), 저주파수 매칭 유닛 (505), 및 저역 통과 필터 (554) 를 포함할 수 있고, 또 다른 스플리터 보드는 HFRF 생성기 (502), 고주파수 매칭 유닛 (503), 및 고역 통과 필터 (552) 를 포함할 수 있다. 일부 구현예들에서, 스위치들 (564, 568) 은 적어도 400만, 적어도 2000만, 또는 적어도 2500만 사이클들의 릴레이 스위치들일 수도 있다.
도 6은 일부 구현예들에 따라 증착 모드와 에칭 모드 사이를 스위칭하기 위한 예시적인 스킴의 블록도를 도시한다. 도 5에 도시된 RF 하드웨어 구성과 같이, 통합된 장치 (600) 는 샤워헤드 (614), 페데스탈 (618), HFRF 생성기 (602), LFRF 생성기 (604), 및 복수의 집적 회로 기판들 (623, 633) (예를 들어, 스플리터 보드들) 을 포함할 수 있다. HFRF 생성기 (602) 및 LFRF 생성기 (604) 는 샤워헤드 (614) 및 페데스탈 (618) 에 동작하게 커플링될 수도 있다. 통합된 장치 (600) 는 HFRF 생성기 (602) 에 동작하게 커플링된 스위치 (625) 를 포함한다. 스위치 (625) 는 (1) 스위치 (625) 가 HFRF 생성기 (602) 를 샤워헤드 (614) 에 커플링하는, 증착 프로세스를 수행하는 증착 모드와 (2) 스위치 (625) 가 적어도 LFRF 생성기 (604) 및 HFRF 생성기 (602) 를 페데스탈 (618) 에 커플링하고 샤워헤드 (614) 를 접지하는 에칭 프로세스를 수행하기 위한 에칭 모드 사이에서 스위칭하도록 구성될 수도 있다.
도 6에서, 통합된 장치 (600) 는 제 1 집적 회로 기판 (623) 및 증착 모드와 연관된 제 1 고주파수 매칭 유닛 (603) 을 포함할 수 있다. 증착 모드에서, HFRF 생성기 (602) 는, HFRF 생성기 (602), 제 1 고주파수 매칭 유닛 (603), 및 제 1 집적 회로 기판 (623) 이 샤워헤드 (614) 와 전기적으로 접속하도록 스위치 (625) 를 통해 샤워헤드 (614) 에 전기적으로 접속된다. HFRF 생성기 (602) 및 제 1 고주파수 매칭 유닛 (603) 은 증착 모드의 샤워헤드 (614) 로 전력을 전달할 수 있다. 일부 구현예들에서, 페데스탈 (618) 은 증착 모드에서 접지된다.
도 6에서, 통합된 장치 (600) 는 제 2 집적 회로 기판 (633) 및 저주파수 매칭 유닛 (605) 뿐만 아니라 에칭 모드와 연관된 제 2 고주파수 매칭 유닛 (613) 을 포함할 수 있다. 에칭 모드에서, LFRF 생성기 (604) 는 페데스탈 (618) 에 전기적으로 접속되고, HFRF 생성기 (602) 는 스위치 (625) 를 통해 페데스탈 (618) 에 전기적으로 접속된다. 즉, HFRF 생성기 (602), 제 2 고주파수 매칭 유닛 (613), LFRF 생성기 (604), 저주파수 매칭 유닛 (605), 및 제 2 집적 회로 기판 (633) 은 페데스탈 (618) 과 전기적으로 접속한다. HFRF 생성기 (602) 및 제 2 고주파수 매칭 유닛 (613) 뿐만 아니라 LFRF 생성기 (604) 및 저주파수 매칭 유닛 (605) 은 에칭 모드의 페데스탈 (618) 로 전력을 전달한다. 일부 구현예들에서, 샤워헤드 (614) 는 에칭 모드에서 접지된다.
일부 구현예들에서, 스위치 (625) 는 HFRF 생성기 (602) 로부터 증착 모드의 샤워헤드 (614) 로 전력을 전달하는 것과 HFRF 생성기 (602) 로부터 에칭 모드의 페데스탈 (618) 로 전력을 전달하는 것 사이를 스위칭하도록 구성된 HFRF 스위칭박스이다. 증착 모드에서, HFRF 생성기 (602) 만이 제 1 집적 회로 기판 (623) 을 통해 샤워헤드 (614) 에 커플링된다. 에칭 모드에서, HFRF 생성기 (602) 및 LFRF 생성기 (604) 양자는 제 2 집적 회로 기판 (633) 을 통해 페데스탈 (618) 에 커플링된다. 일부 구현예들에서, 제 1 집적 회로 기판 (623) 및 제 2 집적 회로 기판 (633) 은 동기화된 릴레이 제어부 (635) 를 통해 통신하게 커플링되고, 동기화된 릴레이 제어부 (635) 는 스위치 (625) 에 통신하게 커플링된다. 동기화된 릴레이 제어부 (635) 는 증착 모드와 에칭 모드 사이의 스위칭을 동기화하도록 구성된다. 예를 들어, 제 1 집적 회로 기판 (623) 이 HFRF 생성기 (602) 로부터 증착 모드의 샤워헤드 (614) 로 전력을 전달한다면, 이어서 동기화된 릴레이 제어부 (635) 는 페데스탈 (618) 로 전력을 전달하지 않도록 제 2 집적 회로 기판 (633) 에 동시에 통신할 수 있다. 또는, 제 2 집적 회로 기판 (633) 은 HFRF 생성기 (602) 및 LFRF 생성기 (604) 로부터 에칭 모드의 페데스탈 (618) 로 전력을 전달하고, 이어서 동기화된 릴레이 제어부 (635) 는 샤워헤드 (614) 로 전력을 전달하지 않도록 제 1 집적 회로 기판 (623) 에 동시에 통신할 수 있다.
도 5 및 도 6의 RF 하드웨어 구성들이 샤워헤드 및/또는 페데스탈에 전력을 공급하기 위한 HFRF 생성기, LFRF 생성기, 및 별도의 집적 회로 기판들을 활용하지만, 일부 RF 하드웨어 구성들은 단일 전력 공급원으로서 HFRF 생성기 및 LFRF 생성기를 함께 혼합할 수도 있고, 샤워헤드 및/또는 페데스탈에 전력을 공급하기 위한 단일 집적 회로 기판을 활용할 수도 있다. 일부 구현예들에서, HFRF 생성기 및 LFRF 생성기는 단일 집적 회로 기판의 일부일 수도 있다. 단일 집적 회로 기판은 일 모드의 샤워헤드로 고주파수 신호들 및/또는 저주파수 신호들을 전달할 수 있고, 또 다른 모드의 페데스탈로 고주파수 신호들 및/또는 저주파수 신호들을 전달할 수 있다. 단일 집적 회로 기판은 복수의 채널들을 갖는 복수의 스테이션들을 포함할 수 있다.
도 7은 일부 구현예들에 따라 증착 모드와 에칭 모드 간을 스위칭하기 위한 대안적인 예시적인 스킴의 블록도를 도시한다. 통합된 장치 (700) 는 집적 회로 기판 (710), 샤워헤드 (714), 및 페데스탈 (718) 을 포함할 수 있다. 샤워헤드 (714) 및 페데스탈 (718) 은 웨이퍼 상에서 증착-에칭-증착 시퀀스들을 수행하기 위한 플라즈마 프로세싱 챔버 (미도시) 일 수도 있다. 플라즈마 프로세싱 챔버는 CCP 반응기일 수 있고, 샤워헤드 (714) 는 상단 전극을 포함하고 페데스탈 (718) 은 하단 전극을 포함한다. 집적 회로 기판 (710) 은 하나 이상의 HF/LF RF 생성기들 (704, 708) 및 하나 이상의 스위치들 (764, 768) 을 포함할 수 있고, 하나 이상의 스위치들 (764, 768) 은 (1) 증착 모드의 하나 이상의 스위치들 (764, 768) 이 HF/LF 생성기 (704) 를 샤워헤드 (714) 에 커플링하는, 증착 프로세스를 수행하는 증착 모드와 (2) 에칭 모드의 하나 이상의 스위치들 (764, 768) 이 HF/LF 생성기 (708) 를 페데스탈 (718) 에 커플링하는, 에칭 프로세스를 수행하는 에칭 모드 사이를 스위칭하도록 구성된다. 일부 구현예들에서, 도 7에 도시된 HF/LF RF 생성기(들) (704, 708) 는 단일 HF/LF RF 생성기일 수 있다. 단일 HF/LF RF 생성기는 고주파수 신호들 및 저주파수 신호들 양자를 전달할 수 있다. 동일한 HF/LF RF 생성기는 샤워헤드 (714) 또는 페데스탈 (718) 에 전력을 전달하도록 사용될 수 있다.
일부 실시예들에서, 집적 회로 기판 (710) 은 복수의 스테이션들에 전력을 공급하기 위해 복수의 채널들을 갖출 수 있다 (outfitted). 스테이션들 중 하나에 제 1 스테이션 릴레이 스위치 (764) 가 있을 수 있고, 다른 스테이션들 중 하나에 제 2 스테이션 릴레이 스위치 (768) 가 있을 수 있다. 제 1 스테이션 릴레이 스위치 (764) 는 증착 모드의 샤워헤드 (714) 에 제 1 HF/LF RF 생성기 (704) 를 커플링하도록 구성된다. 제 1 스테이션 릴레이 스위치 (764) 가 제 1 위치에 있을 때, 통합된 장치 (700) 는 증착 모드에 있고 제 1 HF/LF RF 생성기 (704) 는 샤워헤드 (714) 를 전력을 공급하도록 샤워헤드 (714) 에 전기적으로 접속된다. HF/LF RF 생성기 (704) 로부터의 신호는 제 1 인덕터 (774) 를 통해 샤워헤드 (714) 로 통과된다. 제 1 스테이션 릴레이 스위치 (764) 가 제 2 위치에 있을 때, 샤워헤드 (714) 는 접지된다. 일부 구현예들에서, 샤워헤드 (714) 가 리턴 경로의 인덕턴스를 보상하도록 접지될 때 제 1 커패시터 (734) 가 제공된다. 제 2 스테이션 릴레이 스위치 (768) 는 에칭 모드의 페데스탈 (718) 에 제 2 HF/LF RF 생성기 (708) 를 커플링하도록 구성된다. 제 2 스테이션 릴레이 스위치 (768) 가 제 1 위치에 있을 때, 통합된 장치 (700) 는 에칭 모드에 있고 제 2 HF/LF RF 생성기 (708) 는 페데스탈 (718) 을 바이어싱하도록 페데스탈 (718) 에 전기적으로 접속된다. 제 2 스테이션 릴레이 스위치 (768) 로부터의 신호는 페데스탈 (718) 로 제 2 인덕터 (778) 를 통과한다. 제 2 스테이션 릴레이 스위치 (768) 가 제 2 위치에 있을 때, 페데스탈 (718) 은 접지된다. 일부 구현예들에서, 제 2 커패시터 (738) 는 페데스탈 (718) 이 리턴 경로의 인덕턴스를 보상하도록 접지될 때 제공된다.
도 7에 도시된 바와 같이, 일부 실시예들에서, 집적 회로 기판 (710) 은 모드들 간의 스위칭을 동기화하도록 구성된 스위치 (735), 예컨대 DO (digital output) 비트 스위치를 포함한다. 스위치 (735) 는 제 1 릴레이 (744) 및 제 2 릴레이 (748) 에 커플링될 수 있다. 일부 구현예들에서, 제 1 릴레이 (744) 및 제 2 릴레이 (748) 각각은 고전압 진공 릴레이일 수 있다. 스위치 (735) 가 증착 모드에 있을 때, 제 1 릴레이 (744) 는 개방 위치에 있을 수 있고, 제 2 릴레이 (748) 는 폐쇄된 위치에 있을 수 있다. 스위치가 에칭 모드에 있을 때, 제 1 릴레이 (744) 는 폐쇄된 위치에 있을 수 있고 제 2 릴레이 (748) 는 개방 위치에 있을 수 있다. 제 1 릴레이 (744) 가 개방되고 제 2 릴레이 (748) 가 폐쇄될 때, 샤워헤드 (714) 에 전력이 공급되고 페데스탈 (718) 이 접지되도록, 제 1 스테이션 릴레이 스위치 (764) 는 제 1 위치에 있고, 제 2 스테이션 릴레이 스위치 (768) 는 제 2 위치에 있다. 제 1 릴레이 (744) 가 폐쇄되고 제 2 릴레이 (748) 가 개방될 때, 페데스탈 (718) 에 전력이 공급되고 샤워헤드 (714) 가 접지되도록, 제 1 스테이션 릴레이 스위치 (764) 는 제 2 위치에 있고, 제 2 스테이션 릴레이 스위치 (768) 는 제 1 위치에 있다. 도 7의 이러한 구성은 HF/LF RF 생성기(들) (704, 708) 로 하여금 증착 모드의 샤워헤드 (714) 에 전력을 공급하고 페데스탈 (718) 을 접지하게 하고, HF/LF RF 생성기(들) (704, 708) 로 하여금 에칭 모드의 페데스탈 (718) 에 전력을 공급하고 샤워헤드 (714) 를 접지하게 한다.
도 7의 샤워헤드 (714) 는 샤워헤드 (714) 와 제 1 인덕터 (774) 사이에 위치된 동축 케이블 (미도시) 을 통해 접지될 수도 있다. 도 7의 페데스탈 (718) 은 또한 페데스탈 (718) 과 제 2 인덕터 (778) 사이에 위치된 동축 케이블을 통해 접지될 수도 있다. 그러나, 인덕터 및 동축 케이블을 통해 접지하는 것은 전기적 접지로의 보다 긴 리턴 경로를 생성한다. 이는 보다 큰 전압으로 하여금 샤워헤드 (714) 또는 페데스탈 (718) 상에 구축되게 하고, 이는 전극들 간 전압을 효과적으로 감소시킬 수 있다. 이는 에칭 모드로 하여금, 예를 들어, 전극들 간의 전압이 변화되지만 페데스탈 (718) 이 바이어싱된다면, 보다 덜 효과적이 되게 할 수 있다.
인덕터 및 동축 케이블을 통해 접지하는 대신, 일부 RF 하드웨어 구성들은 플라즈마 프로세싱 챔버에 대해 국부적인 샤워헤드 및 페데스탈을 접지할 수도 있다. 도 8은 일부 구현예들에 따른 증착 모드와 에칭 모드 간을 스위칭하도록 구성된 플라즈마 프로세싱 챔버를 포함하는 예시적인 통합된 장치의 개략적인 예시를 도시한다. 통합된 장치 (800) 는 집적 회로 기판 (810) 및 플라즈마 프로세싱 챔버 (824) 를 포함하고, 플라즈마 프로세싱 챔버 (824) 는 샤워헤드 (814) 및 페데스탈 (818) 을 포함한다. 플라즈마 프로세싱 챔버 (824) 는 CCP 반응기일 수도 있고, 샤워헤드 (814) 는 상단 전극을 포함하고, 페데스탈 (818) 은 하단 전극을 포함한다. CCP 반응기는 웨이퍼 상에서 증착-에칭-증착 시퀀스들을 수행하도록 구성될 수도 있다. 집적 회로 기판 (810) 은 하나 이상의 HF/LF RF 생성기들 (804, 808) 및 하나 이상의 스위치들 (864, 868) 을 포함할 수 있다. 통합된 장치 (800) 는 부가적인 스위치들 (884, 888) 을 더 포함할 수 있다. 스위치들 (864, 868, 884, 888) 은 (1) 증착 모드의 스위치들 (864, 868, 884, 888) 이 HF/LF RF 생성기 (804) 를 샤워헤드 (814) 에 커플링하고, 페데스탈 (818) 을 접지하는, 증착 프로세스를 수행하는 증착 모드와 (2) 에칭 모드의 스위치들 (864, 868, 884, 888) 이 HF/LF RF 생성기 (808) 를 페데스탈 (818) 에 커플링하고, 샤워헤드 (814) 를 접지하는, 에칭 프로세스를 수행하는 에칭 모드 사이를 스위칭하도록 구성된다. 일부 구현예들에서, 도 8에 도시된 HF/LF RF 생성기(들) (804, 808) 는 단일 HF/LF RF 생성기일 수 있다. 단일 HF/LF RF 생성기는 고주파수 신호들 및 저주파수 신호들 양자를 전달할 수 있다. 동일한 HF/LF RF 생성기가 샤워헤드 (814) 또는 페데스탈 (818) 에 전력을 공급하도록 사용될 수 있다.
일부 구현예들에서, 집적 회로 기판 (810) 은 복수의 스테이션들에 전력을 공급하기 위한 복수의 채널들을 갖출 수 있다. 스테이션들 중 하나에 제 1 스테이션 릴레이 스위치 (864) 가 있을 수 있고, 다른 스테이션들 중 하나에 제 2 스테이션 릴레이 스위치 (868) 가 있을 수 있다. 제 1 스테이션 릴레이 스위치 (864) 는 제 1 HF/LF RF 생성기 (804) 를 증착 모드의 샤워헤드 (814) 에 커플링하도록 구성된다. 제 1 스테이션 릴레이 스위치 (864) 가 제 1 위치에 있을 때, 통합된 장치 (800) 는 증착 모드이고, 제 1 HF/LF RF 생성기 (804) 는 샤워헤드 (814) 에 전력을 공급하도록 샤워헤드 (814) 에 전기적으로 접속된다. HF/LF RF 생성기 (804) 로부터의 신호는 샤워헤드 (814) 로 제 1 인덕터 (874) 를 통과한다. 제 1 스테이션 릴레이 스위치 (864) 가 제 2 위치에 있을 때, 샤워헤드 (814) 는 접지된다. 그러나, 제 1 인덕터 (874) 및 제 1 동축 케이블 (854) 을 통해 접지하는 대신, 샤워헤드 (814) 는 플라즈마 프로세싱 챔버 (824) 에 대해 국부적으로 접지된다. 샤워헤드 접지 릴레이 스위치 (884) 는 샤워헤드 (814) 에 국부적으로 제공되고, 제 1 스테이션 릴레이 스위치 (864) 과 연관된 제 1 스테이션에 커플링된다. 이는 리턴 경로의 인덕턴스를 감소시키고 보상 커패시터들에 대한 필요성을 제거할 수 있다. 제 2 스테이션 릴레이 스위치 (868) 는 제 2 HF/LF RF 생성기 (808) 를 에칭 모드의 페데스탈 (818) 에 커플링하도록 구성된다. 제 2 스테이션 릴레이 스위치 (868) 가 제 1 위치에 있을 때, 통합된 장치 (800) 는 에칭 모드에 있고 제 2 HF/LF RF 생성기 (808) 는 페데스탈 (818) 에 바이어싱하도록 페데스탈 (818) 에 전기적으로 접속된다. 제 2 스테이션 릴레이 스위치 (868) 로부터의 신호는 페데스탈 (818) 로 제 2 인덕터 (878) 를 통과한다. 제 2 스테이션 릴레이 스위치 (868) 이 제 2 위치에 있을 때, 페데스탈 (818) 은 접지된다. 그러나, 제 2 인덕터 (878) 및 제 2 동축 케이블 (858) 을 통해 접지하는 대신, 페데스탈 (818) 은 플라즈마 프로세싱 챔버 (824) 에 대해 국부적으로 접지된다. 페데스탈 접지 릴레이 스위치 (888) 는 페데스탈 (818) 에 국부적으로 위치되고, 제 2 스테이션 릴레이 스위치 (868) 와 연관된 제 2 스테이션에 커플링된다. 이는 리턴 경로의 인덕턴스를 감소시키고 보상 커패시터들에 대한 필요성을 제거할 수 있다. 일부 구현예들에서, 전극들을 국부적으로 접지하는 것은 에칭 모드에서 에칭 프로세스를 개선할 수 있다. 그러나, 도 8의 에칭 스테이션은 하나 대신 2 개의 스위치들, 예컨대 제 1 스테이션에서 릴레이 스위치들 (864, 884) 그리고 제 2 스테이션에서 릴레이 스위치들 (868, 888) 을 갖춘다.
집적 회로 기판 (810) (예를 들어, 스플리터 보드) 은 복수의 스테이션들을 포함할 수 있고, 스테이션 각각은 전력 온/오프를 스위칭할 수 있다. 집적 회로 기판 (810) 은 스테이션들 중 하나에서 DO 비트 스위치 (미도시) 를 포함할 수 있고, DO 비트 스위치는 모드들 간의 스위칭을 동기화하도록 구성된다. 따라서, 모든 4 개의 릴레이 스위치들 (864, 868, 884, 888) 이 동기화될 수 있다. 예를 들어, DO 비트 스위치가 제 1 스테이션 릴레이 스위치 (864) 와 연관된 제 1 스테이션에 제공된다면, 그리고 제 1 스테이션 릴레이 스위치 (864) 가 제 1 위치로 스위칭된다면 (예를 들어, 턴온), 그러면 샤워헤드 (814) 는 전력 공급되고 페데스탈 (818) 은 접지된다. 구체적으로, 제 2 스테이션 릴레이 스위치 (868) 가 제 2 위치로 스위칭되고 (예를 들어, 턴오프), 페데스탈 접지 릴레이 스위치 (888) 는 페데스탈 (818) 을 국부적으로 접지한다. 제 1 스테이션 릴레이 스위치 (864) 가 제 2 위치로 스위칭되면 (예를 들어, 턴오프), 그러면 페데스탈 (818) 은 전력 공급되고 샤워헤드 (814) 는 접지된다. 구체적으로 제 2 스테이션 릴레이 스위치 (868) 는 제 1 위치로 스위칭되고 (예를 들어, 턴온), 샤워헤드 접지 릴레이 스위치 (884) 는 샤워헤드 (814) 를 국부적으로 접지한다. 도 8의 이러한 구성은 HF/LF RF 생성기(들) (804, 808) 로 하여금 샤워헤드 (814) 에 전력 공급하게 하고, 증착 모드의 페데스탈 (818) 을 접지하게 하거나, HF/LF RF 생성기(들) (804, 808) 로 하여금 페데스탈 (818) 에 전력 공급하게 하고, 에칭 모드의 샤워헤드 (814) 를 접지하게 한다.
도 5 내지 도 8에 기술된 통합된 장치들의 전술한 RF 하드웨어 구성들은 종래의 툴들보다 증착-에칭-증착 시퀀스들을 수행하기 위해 보다 단순하고 보다 유연한 툴 솔루션을 제공할 수 있다. 도 9a는 증착-에칭-증착 갭 충진 프로세스들을 수행하는 예시적인 종래 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다. 멀티-스테이션 프로세싱 툴 (900a) 은 카세트로부터 포드 (908) 를 통해 로드 록 내로 그리고 궁극적으로 4 개의 프로세스 챔버들 (911, 912, 913, 914) 중 일 챔버 내로 로딩된 웨이퍼들을 이동시키도록 구성된 로봇 (906) 을 포함하지만, 보다 많거나 보다 적은 프로세스 챔버들이 있을 수도 있다는 것이 이해될 것이다. 멀티-스테이션 프로세싱 툴 (900a) 은 도 3의 멀티-스테이션 프로세싱 툴 (300) 과 같이 유사한 피처들을 포함할 수 있다. 멀티-스테이션 프로세싱 툴 (900a) 에서, 3 개의 프로세스 챔버들 (911, 912, 913) 은 증착 프로세스들, 예컨대 ALD를 수행하도록 구성될 수도 있고, 프로세스 챔버들 중 일 챔버 (914) 는 에칭 프로세스를 수행하도록 구성될 수도 있다.
도 9b는 증착-에칭-증착 갭 충진 프로세스들을 수행하는 개시된 통합된 장치를 포함하는 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다. 멀티-스테이션 프로세싱 툴 (900b) 은 카세트로부터 포드 (908) 를 통해 로드 록 내로 그리고 궁극적으로 3 개의 프로세스 챔버들 (921a, 921b, 921c) 중 일 챔버 내로 로딩된 웨이퍼들을 이동시키도록 구성된 로봇 (906) 을 포함하지만, 보다 많거나 보다 적은 프로세스 챔버들이 있을 수도 있다는 것이 이해될 것이다. 멀티-스테이션 프로세싱 툴 (900b) 은 도 3의 멀티-스테이션 프로세싱 툴 (300) 과 같이 유사한 피처들을 포함할 수 있다. 멀티-스테이션 프로세싱 툴 (900b) 에서, 프로세스 챔버들 (921a, 921b, 921c) 각각은 증착 프로세스 및 에칭 프로세스 양자를 수행하도록 구성될 수도 있다. 예를 들어, 프로세스 챔버들 (921a, 921b, 921c) 각각은 도 5 내지 도 8에 도시된 특정한 RF 하드웨어 구성을 갖는 통합된 장치를 포함할 수도 있다. 멀티-스테이션 프로세싱 툴 (900b) 은 증착 프로세스들을 위해 고속 주파수 튜닝, 에칭 프로세스들을 위해 고 에칭 레이트들, 에칭 프로세스들을 위해 테이퍼된 에칭 프로파일들, 및 동일한 챔버 내에서 갭 충진을 위해 복수의 증착-에칭-증착 시퀀스들을 수행함으로써 개선된 가요성을 제공할 수도 있다.
멀티-스테이션 프로세싱 툴 (900b) 은 시스템 제어기 (미도시), 예컨대 도 3의 시스템 제어기 (350) 를 포함할 수도 있다. 시스템 제어기는 증착 모드와 에칭 모드 간의 스위칭 동작들을 포함한 동작들을 수행하기 위한 인스트럭션들을 제공하도록 구성될 수도 있다. 시스템 제어기는 통합된 장치의 일부일 수도 있는, 시스템의 일부일 수도 있다. 시스템 제어기는 도 5 내지 도 8에 상기 기술된 임의의 RF 하드웨어 구성들을 사용하여, 증착 모드 및 에칭 모드에서 동작하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 시스템 제어기는 RF 전력 레벨들, RF 주파수들, 듀티 사이클, 웨이퍼 온도, 챔버 및/또는 스테이션 온도, 챔버 및/또는 스테이션 압력, 웨이퍼 및/또는 페데스탈 위치, 타이밍, 가스들의 혼합물, 가스 플로우 레이트들, 퍼지 조건들 및 타이밍, 증착 모드 및 에칭 모드 스위칭, 등을 제어하기 위한 인스트럭션들을 포함할 수도 있다.
일반적으로 말하면, 시스템 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 시스템 제어기는 이하에 기술된 하나 이상의 동작들을 수행하기 위한 인스트럭션들로 구성될 수도 있다.
프로세스 조건들
도 10은 웨이퍼 상에서 증착-에칭-증착 갭 충진 프로세스를 수행하기 위한 예시적인 프로세스 흐름을 예시하는 흐름도를 도시한다. 프로세스 (1000) 의 동작들은 상이한 순서들, 그리고/또는 상이한, 보다 적거나, 부가적인 동작들과 함께 수행될 수도 있다. 상기 기술된 바와 같은 시스템 제어기는 하나 이상의 이하의 동작들을 수행하기 위한 인스트럭션들로 구성될 수도 있다.
증착 프로세스 및 에칭 프로세스를 위한 도 5 내지 도 9b에 제공된 통합된 툴 솔루션을 사용하여, 증착-에칭-증착 갭 충진 프로세스들은 동일한 플라즈마 프로세싱 챔버 전체에서 수행될 수 있다. 게다가, 에칭 프로세스들을 수행하기 위한 프로세스 조건들은 증착 프로세스들을 수행하기 위한 프로세스 조건들과 호환가능할 수 있다. 일부 구현예들에서, 프로세스 조건들, 예컨대 웨이퍼 온도, 챔버 압력, 주파수, 및 RF 전력은 증착 프로세스들과 호환가능하게 조정될 수도 있지만, 여전히 증착-에칭-증착 시퀀스에서 효과적인 이방성 에칭을 제공할 수도 있다.
프로세스 (1000) 는, 웨이퍼가 플라즈마 프로세싱 챔버에 제공되는 블록 1005에서 시작될 수 있고, 웨이퍼는 각각 약 5:1보다 큰 깊이 대 폭 종횡비를 갖는 하나 이상의 갭들을 갖는다. 웨이퍼는 집적 회로들의 생산시 사용될 때 반도체 웨이퍼일 수 있다. 웨이퍼가 비평면형이도록 웨이퍼는 하나 이상의 피처들을 가질 수 있다. 일부 구현예들에서, 하나 이상의 피처들은 하나 이상의 갭들, 트렌치들, 또는 리세스들을 포함할 수 있다. 갭 충진 프로세스는 하나 이상의 갭들을 갖는 웨이퍼 상에서 수행될 수 있다. 웨이퍼의 갭 폭은 다양한 실시예들에 따라 가변할 수 있고, 약 5 Å 내지 약 50 ㎛, 또는 약 100 Å 내지 약 1 ㎛의 범위일 수도 있다. 깊이 대 폭 종횡비들의 예들은 약 2:1 초과, 또는 약 5:1 초과, 또는 약 10:1 초과, 또는 약 30:1 초과, 또는 약 50:1 초과, 또는 약 100:1 초과일 수 있다. 더욱이, 플라즈마 프로세싱 챔버는 프로세스 가스들을 전달하기 위한 샤워헤드 및 웨이퍼를 지지하기 위한 페데스탈을 포함하는 CCP 반응기일 수 있고, 샤워헤드는 상단 전극을 포함하고 페데스탈은 하단 전극을 포함한다.
프로세스 (1000) 의 블록 1010에서, 제 1 유전체 층은 플라즈마 프로세싱 챔버 내에서 ALD를 통해 하나 이상의 갭들 내에 증착될 수 있다. 일부 구현예들에서, 제 1 유전체 층은 유전체 옥사이드, 예컨대 실리콘 다이옥사이드 (SiO2) 일 수 있다. ALD를 사용하여, 제 1 유전체 층의 컨포멀한 막은 비평면형 웨이퍼 상에 증착될 수 있다. ALD를 통한 제 1 유전체 층의 증착 동안, 플라즈마 프로세싱 챔버는 적어도 샤워헤드가 RF 생성기에 의해 전력 공급되는 증착 모드에 있을 수 있다. 일부 구현예들에서, 페데스탈은 제 1 유전체 층의 증착 동안 접지될 수 있다. 일부 구현예들에서, RF 생성기는 고속 주파수 튜닝할 수도 있다.
프로세스 (1000) 의 블록 1015에서, 제 1 유전체 층은 플라즈마 프로세싱 챔버에서 기울기 제어를 사용하여 이방성으로 에칭된다. 이방성 에칭은 제 1 유전체 층의 막이 증착될 때 테이퍼된 포지티브 기울기를 생성한다. 이방성 에칭은 갭의 내부 및 하단부 근방보다 갭의 상단부 근방에서 보다 많은 유전체 재료를 선택적으로 제거할 수 있다. 이 기술의 목적을 위해, "갭의 상단부 근방" 또는 "개구부 근방"은 필드 영역으로부터 측정된 갭 깊이의 약 0 내지 10 %에 대응하는 (즉, 갭의 측벽을 따라) 갭 내 영역 또는 근사한 위치로 규정된다. 특정한 실시예들에서, 개구부 근방 또는 갭의 상단부 근방 영역은 개구부 또는 갭의 상단부에서의 영역에 대응한다. 게다가, "갭의 내부 및 하단부 근방" 또는 "갭 내부"는 갭의 상단부 상의 필드 영역으로부터 갭 깊이의 약 20 내지 60 %에 대응하는 갭 내 영역 또는 근사한 위치로서 규정된다. 통상적으로, 특정한 파라미터들 (예를 들어, 두께) 에 대한 값들이 "개구부 근방" 또는 "갭 내부"로 특정될 때, 이들 값들은 이들 위치들/영역들 내에서 취해진 측정치 또는 복수의 측정치들의 평균을 나타낸다. 이방성 에칭은 갭의 내부 및 하단부 근방보다 갭의 상단부 근방에 기울어진 프로파일을 생성하도록 기울기 제어를 사용하여 수행된다. 일부 구현예들에서, 이방성 에칭을 위한 에천트는 불소계 에천트, 예컨대 질소 트리플루오라이드 (NF3) 를 포함할 수 있다.
블록 1015에서 수행된 이방성 에칭 단계는 블록 1010에서 수행된 증착 단계와 동일한 플라즈마 프로세싱 챔버에서 발생할 수 있다. 일부 실시예들에서, 블록 1015에서 플라즈마 프로세싱 챔버는 에칭 모드에 있을 수 있고, 페데스탈은 RF 생성기에 의해 전력 공급되고, 샤워헤드는 접지된다. 일부 구현예들에서, 하나 이상의 스위치들은 증착 모드의 샤워헤드에 전력 공급하는 것으로부터 에칭 모드의 페데스탈에 전력 공급하는 것으로 RF 생성기를 스위칭하기 위해 활용될 수도 있다. 예를 들어, 프로세스 (1000) 는 제 1 유전체 층을 이방성으로 에칭하기 전에 저주파수 전력 및 고주파수 전력을 플라즈마 프로세싱 챔버의 페데스탈에 인가하고 플라즈마 프로세싱 챔버의 샤워헤드를 접지하도록 스위칭하는 것을 더 포함할 수 있다.
블록 1010에서의 증착 모드를 위한 프로세스 조건들은 블록 1015에서의 에칭 모드를 위한 프로세스 조건들과 호환가능할 수도 있다. 일부 구현예들에서, 에칭 프로세스 및 증착 프로세스 양자 동안 웨이퍼 온도는 50 ℃ 내지 650 ℃, 또는 100 ℃보다 높고, 또는 200 ℃보다 높고, 또는 300 ℃보다 높고, 또는 심지어 400 ℃보다 높을 수도 있다. 이러한 웨이퍼 온도 범위들은 블록 1010, 1015, 및 1020에서 적용될 수도 있다. 일부 구현예들에서, 에칭 프로세스 및 증착 프로세스 양자에 대한 챔버 압력은 0.1 Torr 내지 10 Torr, 또는 0.3 Torr 내지 1 Torr일 수 있다. 이러한 챔버 압력들은 블록 1010, 1015, 및 1020에서 적용될 수도 있다. 일부 구현예들에서, LFRF 생성기는 약 400 ㎑의 RF 주파수를 제공할 수 있고, HFRF 생성기는 약 13.56 ㎒의 RF 주파수를 제공할 수 있다. 이러한 주파수들은 블록 1010, 1015, 및 1020에서 적용될 수도 있다. 생성된 저주파수 전력은 약 1500 W 내지 약 6000 W일 수 있고, 생성된 고주파수 전력은 약 0 W 내지 약 5000 W일 수 있다. 이러한 RF 전력들은 블록 1010, 1015, 및 1020에서 적용될 수도 있다. 통상적인 에칭 프로세스들은 이러한 고 웨이퍼 온도들에서 동작하지 않고, 이렇게 큰 저주파수 전력들을 생성하기 위해 이러한 저주파수들에서 동작하지 않는다. 일부 구현예들에서, NF3의 농도는 에칭을 위해 약 1.0 내지 2.5 %일 수도 있다. 통상적인 에칭 프로세스들은 또한 에칭을 위해 이렇게 소량의 NF3를 사용하지 않을 수도 있다. 표 1은 플로우 레이트, 압력, 고주파수 전력, 저주파수 전력, 및 NF3 농도의 면에서 웨이퍼 온도 범위들, 가스 혼합물들, 가스 농도들의 예시적인 세트를 제공한다.
13.56 ㎒ 400 ㎑
웨이퍼 온도 (℃) NF3 (sccm) He (sccm)
Ar (sccm) O2 (sccm) 압력 (Torr) HF 전력 (W) LF 전력 (W) NF3 (%)
80 내지 400 250 2500 5000 2500 0.6 2000 3000 2.44
250 5000 5000 0 0.6 2000 3000 2.44
250 2500 5000 2500 0.6 0 3000 2.44
250 0 5000 5000 0.6 0 3000 2.44
125 0 2500 2500 0.3 0 3000 2.44
125 0 2500 2500 0.3 2000 3000 2.44
250 2500 5000 2500 0.6 2000 3000 2.44
50 2500 2500 0 0.3 1000 3000 0.99
125 2500 2500 0 0.3 1000 3000 2.44
50 2500 2500 0 1.0 1000 3000 0.99
125 2500 2500 0 1.0 1000 3000 2.44
50 2500 2500 0 0.3 700 1600 0.99
웨이퍼 온도 (℃) NF3 (sccm) He (sccm)
Ar (sccm) N2 (sccm) 압력 (Torr) HF 전력 (W) LF 전력 (W) NF3(%)
80 내지 400 250 2500 5000 2500 0.6 2000 3000 2.44
250 2500 5000 2500 0.6 0 3000 2.44
250 0 5000 5000 0.6 0 3000 2.44
125 0 2500 2500 0.3 0 3000 2.44
125 0 2500 2500 0.3 2000 3000 2.44
250 2500 5000 2500 0.6 2000 3000 2.44
프로세스 (1000) 의 블록 1020에서, 제 2 유전체 층은 플라즈마 프로세싱 챔버 내에서 ALD를 통해 제 1 유전체 층 위의 하나 이상의 갭들 내에 증착될 수 있다. 일부 구현예들에서, 제 2 유전체 층은 SiO2와 같은 유전체 옥사이드일 수 있다. ALD를 사용하여, 제 2 유전체 층의 컨포멀한 막은 비평면형 웨이퍼 상에 증착될 수 있다. 일부 실시예들에서, 제 2 유전체 층은 하나 이상의 갭들을 충진하고 폐쇄할 수 있다. 제 2 유전체 층의 증착 동안, 플라즈마 프로세싱 챔버는 적어도 샤워헤드가 RF 생성기에 의해 전력 공급되는 증착 모드에 있을 수 있다. 일부 구현예들에서, 페데스탈은 제 2 유전체 층의 증착 동안 접지될 수 있다. 제 2 유전체 층은 기울기 제어를 사용하는 이방성 에칭과 동일한 플라즈마 프로세싱 챔버 내에서 증착될 수 있다. 일부 구현예들에서, 프로세스 (1000) 는 제 2 유전체 층을 증착하기 전에 플라즈마 프로세싱 챔버 내 샤워헤드에 고주파수 전력을 인가하고 플라즈마 프로세싱 챔버 내 페데스탈을 접지하도록 스위칭하는 것을 더 포함할 수 있다.
리소그래피 패터닝
본 명세서에서 상기에 기술된 장치들/프로세스들은 예를 들어 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 수행되거나 사용될 수 있다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉, 기판과 같은 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다.
다른 실시예들
본 발명의 예시적인 실시예들 및 애플리케이션들이 본 명세서에 도시되고 기술되지만, 여전히 본 발명의 개념, 범위, 및 정신 내인 많은 변경들 및 수정들이 가능하고, 이들 변경들은 본 출원의 숙독 후에 당업자에게 명백해질 것이다. 따라서, 제시된 실시예들은 예시적이고 제한적이지 않은 것으로 간주되어야 하고, 본 발명은 본 명세서에 제공된 상세들로 제한되지 않고, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (15)

  1. 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치에 있어서,
    샤워헤드 및 페데스탈을 포함하는 프로세스 챔버;
    하나 이상의 RF 생성기들; 및
    상기 하나 이상의 RF 생성기들에 동작하게 커플링된 하나 이상의 필터들을 포함하고,
    통합된 장치는, (1) 증착 프로세스를 수행하는 증착 모드로서, 상기 증착 모드의 상기 하나 이상의 필터들은 저주파수 신호들을 상기 샤워헤드로 선택적으로 방지하고 고주파수 신호들을 상기 샤워헤드로 선택적으로 통과시키는, 상기 증착 모드와 (2) 에칭 프로세스를 수행하는 에칭 모드로서, 상기 에칭 모드의 상기 하나 이상의 필터들은 상기 페데스탈로 고주파수 신호들 및 저주파수 신호들을 선택적으로 통과시키는, 상기 에칭 모드 사이에서 스위칭하도록 구성되는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  2. 제 1 항에 있어서,
    상기 프로세스 챔버는 CCP (capacitively-coupled plasma) 반응기이고, 그리고 상기 샤워헤드는 상단 전극을 포함하고 그리고 상기 페데스탈은 하단 전극을 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  3. 제 1 항에 있어서,
    상기 하나 이상의 RF 생성기들에 동작하게 커플링된 하나 이상의 스위치들을 더 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  4. 제 3 항에 있어서,
    상기 증착 모드의 상기 하나 이상의 스위치들은 상기 샤워헤드에 상기 하나 이상의 RF 생성기들을 커플링하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  5. 제 3 항에 있어서,
    상기 에칭 모드의 상기 하나 이상의 스위치들은 상기 하나 이상의 RF 생성기들을 상기 페데스탈에 커플링하고 상기 샤워헤드는 접지하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  6. 제 1 항에 있어서,
    상기 하나 이상의 RF 생성기들은 LFRF 생성기 및 HFRF 생성기를 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  7. 제 6 항에 있어서,
    상기 하나 이상의 스위치들은,
    상기 LFRF 생성기 및 상기 HFRF 생성기를 상기 증착 모드의 상기 샤워헤드에 전기적으로 접속하도록 구성된 제 1 스테이션 릴레이 스위치; 및
    상기 LFRF 생성기 및 상기 HFRF 생성기를 상기 에칭 모드의 상기 페데스탈에 전기적으로 접속하도록 구성된 제 2 스테이션 릴레이 스위치를 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  8. 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치에 있어서,
    샤워헤드 및 페데스탈을 포함하는 프로세스 챔버;
    하나 이상의 RF 생성기들; 및
    상기 하나 이상의 RF 생성기들에 동작하게 커플링된 시스템 제어기를 포함하고,
    상기 시스템 제어기는,
    상기 하나 이상의 RF 생성기들을 증착 모드의 적어도 상기 샤워헤드에 커플링하고, 그리고
    상기 하나 이상의 RF 생성기들을 에칭 모드의 적어도 상기 페데스탈에 커플링하는 동작을 수행하기 위한 인스트럭션들로 구성되는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  9. 제 8 항에 있어서,
    상기 시스템 제어기는,
    상기 프로세스 챔버의 상기 페데스탈 상에 웨이퍼를 제공하는 동작으로서, 상기 웨이퍼는 제 1 유전체 층을 포함하는, 상기 웨이퍼 제공 동작;
    상기 하나 이상의 RF 생성기들이 상기 에칭 모드의 적어도 상기 페데스탈에 커플링될 때, 상기 프로세스 챔버에서, 상기 제 1 유전체 층을 이방성으로 에칭하는 단계; 및
    상기 하나 이상의 RF 생성기들을 상기 증착 모드의 적어도 상기 샤워헤드에 커플링될 때, 상기 프로세스 챔버에서, ALD를 통해 상기 제 1 유전체 층 위에 제 2 유전체 층을 증착하는 동작을 수행하기 위한 인스트럭션들로 더 구성되는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  10. 제 8 항에 있어서,
    상기 프로세스 챔버는 CCP 반응기이고, 그리고
    상기 샤워헤드는 상단 전극을 포함하고, 그리고 상기 페데스탈은 하단 전극을 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  11. 제 8 항에 있어서,
    상기 하나 이상의 RF 생성기들에 동작하게 커플링된 하나 이상의 필터들을 더 포함하고,
    통합된 장치는, (1) 증착 프로세스를 수행하는 상기 증착 모드로서, 상기 증착 모드의 상기 하나 이상의 필터들은 상기 샤워헤드로 저주파수 신호들을 선택적으로 방지하고 상기 샤워헤드로 고주파수 신호들을 선택적으로 통과시키는, 상기 증착 모드와 (2) 에칭 프로세스를 수행하는 상기 에칭 모드로서, 상기 에칭 모드의 상기 하나 이상의 필터들은 상기 페데스탈로 고주파수 신호들 및 저주파수 신호들을 선택적으로 통과시키는, 상기 에칭 모드 사이에서 스위칭하도록 구성되는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  12. 제 8 항에 있어서,
    상기 하나 이상의 RF 생성기들은 LFRF 생성기 및 HFRF 생성기를 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  13. 제 12 항에 있어서,
    상기 하나 이상의 RF 생성기들을 상기 증착 모드의 적어도 상기 샤워헤드에 커플링하기 위한 인스트럭션들로 구성된 상기 시스템 제어기는 적어도 상기 HFRF 생성기를 상기 샤워헤드에 커플링하기 위한 인스트럭션들로 구성되고, 그리고
    상기 하나 이상의 RF 생성기들을 상기 에칭 모드의 적어도 상기 페데스탈에 커플링하기 위한 인스트럭션들로 구성된 상기 시스템 제어기는 상기 LFRF 생성기 및 상기 HFRF 생성기를 상기 페데스탈에 커플링하고 상기 샤워헤드를 접지하도록 구성되는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  14. 제 8 항에 있어서,
    상기 웨이퍼는 각각 5:1보다 큰 깊이 대 폭 종횡비를 갖는 하나 이상의 갭들을 갖고, 상기 웨이퍼는 상기 하나 이상의 갭들 내에 상기 제 1 유전체 층을 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
  15. 제 8 항에 있어서,
    상기 하나 이상의 RF 생성기들에 동작하게 커플링된 하나 이상의 스위치들을 더 포함하는, 증착 프로세스 및 에칭 프로세스를 수행하기 위해 통합된 장치.
KR1020220059033A 2016-06-30 2022-05-13 갭 충진에서 증착 및 에칭을 위한 장치 및 방법 KR102580991B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/199,608 2016-06-30
US15/199,608 US9773643B1 (en) 2016-06-30 2016-06-30 Apparatus and method for deposition and etch in gap fill
KR1020170077085A KR102399577B1 (ko) 2016-06-30 2017-06-19 갭 충진에서 증착 및 에칭을 위한 장치 및 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170077085A Division KR102399577B1 (ko) 2016-06-30 2017-06-19 갭 충진에서 증착 및 에칭을 위한 장치 및 방법

Publications (2)

Publication Number Publication Date
KR20220069897A true KR20220069897A (ko) 2022-05-27
KR102580991B1 KR102580991B1 (ko) 2023-09-20

Family

ID=59886844

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170077085A KR102399577B1 (ko) 2016-06-30 2017-06-19 갭 충진에서 증착 및 에칭을 위한 장치 및 방법
KR1020220059033A KR102580991B1 (ko) 2016-06-30 2022-05-13 갭 충진에서 증착 및 에칭을 위한 장치 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170077085A KR102399577B1 (ko) 2016-06-30 2017-06-19 갭 충진에서 증착 및 에칭을 위한 장치 및 방법

Country Status (5)

Country Link
US (3) US9773643B1 (ko)
JP (3) JP7027050B2 (ko)
KR (2) KR102399577B1 (ko)
CN (2) CN111243931B (ko)
TW (2) TWI738805B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11075079B2 (en) * 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
CN110534392B (zh) * 2018-05-25 2022-04-22 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
KR102649084B1 (ko) * 2018-09-21 2024-03-20 어플라이드 머티어리얼스, 인코포레이티드 알루미늄 함유 막들을 이용한 갭충전
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US10720305B2 (en) * 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TW202104656A (zh) * 2019-03-28 2021-02-01 美商蘭姆研究公司 噴淋頭護罩
CN111554590B (zh) * 2020-04-16 2021-04-13 上海陛通半导体能源科技股份有限公司 半导体填孔真空系统及填孔方法
JP7433154B2 (ja) 2020-07-16 2024-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI742902B (zh) * 2020-10-30 2021-10-11 台灣奈米碳素股份有限公司 利用電漿輔助原子層沉積技術製造半導體裝置的方法
TWI753633B (zh) * 2020-10-30 2022-01-21 台灣奈米碳素股份有限公司 利用電漿輔助原子層沉積技術製造的半導體裝置及其方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007092175A (ja) * 2005-09-26 2007-04-12 Tokyo Electron Ltd 中空体プラズマ均一性調整デバイスと方法
KR20090082493A (ko) * 2006-11-17 2009-07-30 램 리써치 코포레이션 고속 가스 스위칭 플라즈마 프로세싱 장치
US20100126667A1 (en) * 2008-11-26 2010-05-27 Advanced Micro-Fabrication Equipment, Inc. Asia Capacitive cvd reactor and methods for plasma cvd process
JP2014531753A (ja) * 2011-09-07 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation デュアルチャンバ構成のパルスプラズマチャンバ

Family Cites Families (465)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (ko) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
JPH09102494A (ja) 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6399221B1 (en) 1996-06-25 2002-06-04 Northwestern University Organic light-emitting diodes and methods for assembly and emission control
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
JP3166745B2 (ja) 1998-12-25 2001-05-14 日本電気株式会社 プラズマ処理装置ならびにプラズマ処理方法
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
WO2000055901A1 (en) 1999-03-17 2000-09-21 Semiconductor 300 Gmbh & Co. Kg Method for filling gaps on a semiconductor wafer
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
AU5932500A (en) 1999-07-22 2001-02-13 Corning Incorporated Extreme ultraviolet soft x-ray projection lithographic method and mask devices
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6755945B2 (en) * 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
JP2003119564A (ja) 2001-10-12 2003-04-23 Tokyo Electron Ltd 成膜方法及びプラズマcvd装置
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003197615A (ja) 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7115228B2 (en) 2002-05-24 2006-10-03 Baxter International Inc. One-piece tip protector and organizer
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
KR100541195B1 (ko) * 2003-05-09 2006-01-11 주식회사 아이피에스 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP2006135029A (ja) 2004-11-04 2006-05-25 Sharp Corp ドライエッチング装置
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
JP4642528B2 (ja) 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
WO2007043709A1 (ja) 2005-10-14 2007-04-19 Nec Corporation 半導体装置の製造方法およびその製造装置
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090324971A1 (en) 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8080479B2 (en) * 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090015268A1 (en) * 2007-07-13 2009-01-15 Gardner Delrae H Device and method for compensating a capacitive sensor measurement for variations caused by environmental conditions in a semiconductor processing environment
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI438953B (zh) 2008-01-30 2014-05-21 Osram Opto Semiconductors Gmbh 電子組件之製造方法及電子組件
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
KR101114283B1 (ko) * 2009-04-24 2012-03-05 (주)제이하라 플라즈마 발생장치
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP2011166106A (ja) 2010-01-13 2011-08-25 Renesas Electronics Corp 半導体装置の製造方法及び半導体装置
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
ES2758556T3 (es) 2010-05-21 2020-05-05 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
KR102098682B1 (ko) 2010-11-10 2020-05-22 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
US9006802B2 (en) 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
KR20170124621A (ko) 2011-12-20 2017-11-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) * 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
KR20210020175A (ko) 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6320248B2 (ja) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法
CN103928396A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
TWI735912B (zh) * 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105719954B (zh) * 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007092175A (ja) * 2005-09-26 2007-04-12 Tokyo Electron Ltd 中空体プラズマ均一性調整デバイスと方法
KR20090082493A (ko) * 2006-11-17 2009-07-30 램 리써치 코포레이션 고속 가스 스위칭 플라즈마 프로세싱 장치
US20100126667A1 (en) * 2008-11-26 2010-05-27 Advanced Micro-Fabrication Equipment, Inc. Asia Capacitive cvd reactor and methods for plasma cvd process
JP2014531753A (ja) * 2011-09-07 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation デュアルチャンバ構成のパルスプラズマチャンバ

Also Published As

Publication number Publication date
US20180005801A1 (en) 2018-01-04
TW202143290A (zh) 2021-11-16
CN107564790A (zh) 2018-01-09
JP7410106B2 (ja) 2024-01-09
CN107564790B (zh) 2020-02-18
US10373806B2 (en) 2019-08-06
US10957514B2 (en) 2021-03-23
TWI811757B (zh) 2023-08-11
US9773643B1 (en) 2017-09-26
CN111243931B (zh) 2023-04-11
KR102580991B1 (ko) 2023-09-20
KR102399577B1 (ko) 2022-05-17
CN111243931A (zh) 2020-06-05
JP2024029060A (ja) 2024-03-05
JP2022028796A (ja) 2022-02-16
TW201809344A (zh) 2018-03-16
JP7027050B2 (ja) 2022-03-01
KR20180003435A (ko) 2018-01-09
US20190385820A1 (en) 2019-12-19
TWI738805B (zh) 2021-09-11
JP2018011050A (ja) 2018-01-18

Similar Documents

Publication Publication Date Title
KR102580991B1 (ko) 갭 충진에서 증착 및 에칭을 위한 장치 및 방법
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
KR102418494B1 (ko) 복수의 플라즈마 프로세싱 스테이션들에 걸쳐 임피던스들 또는 전력을 조정하기 위한 결합기 및 분배기
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
KR20240059612A (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
KR20170054227A (ko) 초고 선택성 나이트라이드 에칭을 위한 시스템들 및 방법들
US9633896B1 (en) Methods for formation of low-k aluminum-containing etch stop films
TW201346972A (zh) 電漿處理裝置及電漿處理方法
WO2020112923A1 (en) Throughput improvement with interval conditioning purging
CN114651088A (zh) 具有射频功率渐变的等离子体增强原子层沉积
KR102668418B1 (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant