KR20090082493A - 고속 가스 스위칭 플라즈마 프로세싱 장치 - Google Patents

고속 가스 스위칭 플라즈마 프로세싱 장치 Download PDF

Info

Publication number
KR20090082493A
KR20090082493A KR1020097012509A KR20097012509A KR20090082493A KR 20090082493 A KR20090082493 A KR 20090082493A KR 1020097012509 A KR1020097012509 A KR 1020097012509A KR 20097012509 A KR20097012509 A KR 20097012509A KR 20090082493 A KR20090082493 A KR 20090082493A
Authority
KR
South Korea
Prior art keywords
gas
flow
frequency
plasma
process gas
Prior art date
Application number
KR1020097012509A
Other languages
English (en)
Other versions
KR101432850B1 (ko
Inventor
에스엠 레자 사드자디
지쑹 후앙
호세 통 샘
에릭 에이치 렌즈
라진더 딘드사
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090082493A publication Critical patent/KR20090082493A/ko
Application granted granted Critical
Publication of KR101432850B1 publication Critical patent/KR101432850B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

전극을 갖는 플라즈마 한정 구역을 갖는 플라즈마 챔버가 제공된다. 제 1 가스 및 제 2 가스를 제공하기 위한 가스 분배 시스템이 플라즈마 챔버에 연결되고, 여기서 가스 분배 시스템은 플라즈마 영역 내의 일 가스를 1s 미만의 기간 내에 다른 가스로 실질적으로 대체할 수 있다. 제 1 주파수 범위에서 전극에 전력을 제공하기 위한 제 1 주파수 튜닝된 RF 전원은 적어도 하나의 전극에 전기적으로 연결되고, 여기서 제 1 주파수 튜닝된 RF 전력 소스는 반사된 RF 전력을 최소화할 수 있다. 제 2 주파수 범위에서 플라즈마 챔버에 전력을 제공하기 위한 제 2 주파수 튜닝된 RF 전원은 제 1 주파수 범위 외에 있고, 여기서 제 2 주파수 튜닝된 RF 전원은 반사된 RF 전력을 최소화할 수 있다.
Figure P1020097012509
플라즈마 프로세싱 챔버, 가스 분새 시스템, 주파수 튜닝, RF 전원

Description

고속 가스 스위칭 플라즈마 프로세싱 장치{FAST GAS SWITCHING PLASMA PROCESSING APPARATUS}
기술 배경
반도체 구조물은 플라즈마 프로세싱 챔버, 챔버 안으로 프로세스 가스를 공급하는 가스 소스, 및 프로세스 가스로부터 플라즈마를 생성하는 에너지 소스를 포함하는 플라즈마 프로세싱 장치 내에서 프로세싱된다. 반도체 구조물은 이러한 장치 내에서 건식 에칭 프로세스, 증착 프로세스 (예를 들어, 금속, 유전체 및 반도체 재료의 화학적 기상 증착 (CVD), 물리적 기상 증착, 또는 플라즈마-강화된 화학적 기상 증착 (PECVD)) 및 레지스트 스트리핑 프로세스를 포함하는 기술에 의해 프로세싱된다. 상이한 프로세스 가스가 이들 프로세싱 기술, 및 반도체 구조물의 상이한 재료의 프로세싱에 이용된다.
개요
이를 달성하기 위해, 그리고 본 발명의 목적에 따라 플라즈마 웨이퍼 프로세싱 기구가 제공된다. 체적을 갖는 플라즈마 한정 구역 및 적어도 하나의 전극을 갖는 플라즈마 챔버가 제공된다. 제 1 가스 및 제 2 가스를 제공하기 위한 가스 분배 시스템이 플라즈마 챔버에 연결되고, 여기서 가스 분배 시스템은 플라즈마 한정 구역 내의 제 1 가스 및 제 2 가스 중 일 가스를 1 s 미만의 기간 내에 제 1 가스 및 제 2 가스 중 다른 가스로 실질적으로 대체할 수 있고, 여기서 제 1 가 스로부터 플라즈마 한정 구역 내에 형성된 제 1 플라즈마가 제 1 임피던스 부하를 제공하며, 제 2 가스로부터 플라즈마 한정 구역 내에 형성된 제 2 플라즈마가 제 1 임피던스 부하와 상이한 제 2 임피던스 부하를 제공한다. 적어도 하나의 전극에 제 1 주파수 범위 내에서 전력을 제공하기 위한 제 1 주파수 튜닝된 RF 전원이 적어도 하나의 전극에 전기적으로 접속되고, 여기서 제 1 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 반사된 RF 전력을 최소화 할 수 있다. 플라즈마 챔버에 제 2 주파수 범위에서 전력을 제공하기 위한 제 2 주파수 튜닝된 RF 전원은 제 1 주파수 범위 외의 범위에 이르고, 여기서 제 2 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 반사된 RF 전력을 최소화 할 수 있다.
본 발명의 다른 명시에서 플라즈마 프로세싱 장치가 제공된다. 약 1/2 리터 내지 4 리터의 내부 체적과 내측 구역 및 외측 구역을 갖는 샤워헤드 전극 어셈블리를 포함하는 플라즈마 프로세싱 챔버가 제공된다. 가스 분배 시스템은 샤워헤드 전극 어셈블리의 내측 구역 및 외측 구역과 유체 소통하고, 여기서 가스 분배 시스템은 플라즈마 한정 구역 내의 제 1 프로세스 가스 또는 제 2 프로세스 가스를 약 1 s 미만의 기간 내에 제 1 프로세스 가스 또는 제 2 프로세스 가스 중 다른 가스로 실질적으로 대체하도록 동작 가능하다. 가스 분배 시스템은, 제 1 프로세스 가스 및 제 2 프로세스 가스를 제공하는 가스 공급 시스템, 가스 공급 시스템과 유체 소통하여 제 1 프로세스 가스의 흐름을 제 1 프로세스 가스의 내측 구역 흐름 및 제 1 프로세스 가스의 외측 구역 흐름으로 분할하고, 제 2 프로세스 가 스의 흐름을 제 2 프로세스 가스의 내측 구역 흐름 및 제 2 프로세스 가스의 외측 구역 흐름으로 분할하는 흐름 제어 시스템, 및 흐름 제어 시스템과 가스 분배 부재의 내측 구역과 가스 분배 부재의 외측 구역 사이에 유체 접속하는 스위칭부로서, 스위칭부는, 가스 분배 부재의 내측 구역으로의 흐름을 제 1 프로세스 가스의 내측 구역 흐름과 제 2 프로세스 가스의 내측 구역 흐름 간에 스위칭하고, 가스 분배 부재의 외측 구역으로의 흐름을 제 1 프로세스 가스의 외측 구역 흐름과 제 2 프로세스 가스의 외측 구역 흐름 간에 스위칭한다. 제 1 주파수 튜닝된 RF 전원은 제 1 주파수 범위 내에서 플라즈마 프로세싱 장치에 전력을 제공하기 위한 것으로서, 제 1 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 반사된 RF 전력을 최소화 할 수 있다. 제 2 주파수 튜닝된 RF 전원은 제 1 주파수 범위 외의 제 2 주파수 범위에서 플라즈마 프로세싱 장치에 전력을 제공하기 위한 것으로서, 제 2 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 반사된 RF 전력을 최소화 할 수 있다.
본 발명의 다른 명시에서, 플라즈마 프로세싱 챔버 내에서 반도체 구조물을 프로세싱하는 방법이 제공된다. a) 제 1 프로세스 가스를 플라즈마 프로세싱 챔버 안으로 공급하는 동안 제 2 프로세스 가스를 바이-패스 라인으로 전환하고, 플라즈마 프로세싱 챔버는 적어도 하나의 층 및 이 층 위에 놓이는 패터닝된 레지스트 마스크를 포함하는 반도체 기판을 포함한다. b) 제 1 프로세스 가스를 에너자이징하여 제 1 임피던스 부하를 갖는 제 1 플라즈마를 생성하고, (i) 층 내에 적어도 하나의 피처 (feature) 를 에칭하거나 (ii) 마스크 상에 폴리머 증착물을 형성한다. c) 제 1 임피던스 부하를 매칭하기 위해 제 1 RF 전원을 제 1 주파수로 튜닝한다. d) 제 1 임피던스 부하를 매칭하기 위해 제 2 RF 전원을 제 1 주파수와 상이한 제 2 주파수로 튜닝한다. e) 제 1 프로세스 가스를 바이-패스 라인으로 전환하는 동안 제 2 프로세스 가스는 플라즈마 프로세싱 챔버 안으로 공급되도록, 제 1 프로세스 가스 및 제 2 프로세스 가스의 흐름이 스위칭되고, 제 1 프로세스 가스는 약 1 s 미만의 기간 내에 제 2 프로세스 가스에 의해 플라즈마 프로세싱 챔버의 플라즈마 한정 구역 내에서 실질적으로 대체된다. f) 제 2 프로세스 가스는 에너자이징되어 제 1 임피던스 부하와는 상이한 제 2 임피던스 부하를 갖는 제 2 플라즈마를 생성하고, (ⅲ) 층 내에 적어도 하나의 피처를 에칭하거나 (ⅳ) 층과 마스크 상에 폴리머 증착물을 형성한다. g) 제 2 임피던스 부하를 매칭하기 위해, 제 1 RF 전원을 제 1 주파수 및 제 2 주파수와 상이한 제 3 주파수로 튜닝한다. h) 제 2 임피던스 부하를 매칭하기 위해, 제 2 RF 전원을 제 1, 제 2, 및 제 3 주파수와 상이한 제 4 주파수로 튜닝한다. i) 제 1 프로세스 가스가 플라즈마 프로세싱 챔버 안으로 공급되는 동안 제 2 프로세스 가스를 바이-패스 라인으로 전환하도록, 제 1 프로세스 가스 및 제 2 프로세스 가스의 흐름이 스위칭되고, 제 2 프로세스 가스는 약 1 s 미만의 기간 내에 제 1 프로세스 가스에 의해 플라즈마 프로세싱 챔버의 플라즈마 한정 구역에서 실질적으로 대체된다. j) 반도체 기판에 대해 b) 내지 i) 를 복수 회 반복한다.
본 발명의 이들 및 다른 특징들은 다음의 도면을 참조하고 이하의 본 발명의 상세한 설명에서 더욱 상세히 설명될 것이다.
도면의 간단한 설명
본 발명은 첨부된 도면의 그림에서 제한적 방식이 아닌 예시적 방식으로 나타내어지며, 첨부 도면에서 동일한 참조 부호는 동일한 구성 요소를 지칭한다.
도 1 은 가스 분배 시스템의 바람직한 실시형태가 함께 이용될 수 있는 플라즈마 프로세싱 장치의 예시적인 실시형태의 단면도이다.
도 2 는 가스 분배 시스템의 바람직한 실시형태를 나타낸다.
도 3 은 가스 분배 시스템의 가스 공급부의 바람직한 실시형태를 도시한다.
도 4 는 가스 분배 시스템의 흐름 제어부의 바람직한 실시형태를 도시한다.
도 5 는 가스 분배 시스템의 가스 스위칭부의 제 1 바람직한 실시형태를 도시한다.
도 6 은 가스 분배 시스템의 가스 스위칭부의 제 2 바람직한 실시형태를 도시한다.
도 7 은 가스 분배 시스템의 가스 스위칭부의 제 3 바람직한 실시형태를 도시한다.
상세한 설명
반도체 기판 (예를 들어, 실리콘 웨이퍼) 상에 형성된 반도체 디바이스와 같은 반도체 재료를 프로세싱하기 위한 플라즈마 프로세싱 장치는 플라즈마 프로세싱 챔버 및 플라즈마 프로세싱 챔버 안으로 프로세스 가스를 공급하는 가스 분배 시스템을 포함한다. 가스 분배 시스템은 플라즈마 프로세싱 동안 기판의 표면에 걸 친 단일 구역 또는 다수의 구역으로 가스를 분배할 수 있다. 가스 분배 시스템은 구역으로의 동일 또는 상이한 프로세스 가스, 또는 가스 혼합물의 유량을 제어하도록 흐름 제어기를 포함할 수 있고, 이에 의해 프로세스 중에 기판에 걸친 가스 흐름 균일도 및 가스 조성의 균일도를 조정할 수 있도록 한다.
다수-구역 가스 분배 시스템이 단일-구역 시스템과 비교하여 개선된 흐름 제어를 제공할 수 있을 지라도, 가스 조성 및/또는 가스 흐름이 짧은 기간 내에 변화될 수 있는 기판 프로세싱 동작을 가능하게 하는 구성을 갖는 시스템을 제공하는 것이 바람직할 수도 있다.
가스 분배 시스템은 상이한 가스 조성 및/또는 유량을 챔버에 공급하기 위해 제공된다. 바람직한 실시형태에서, 가스 분배 시스템은 플라즈마 프로세싱 장치의 플라즈마 프로세싱 챔버와 같은 진공 챔버의 내부와 유체 소통되고, 프로세싱 동작 동안 상이한 가스 화학물질 및/또는 가스 유량을 진공 챔버에 공급하는 성능을 제공하도록 구성된다. 플라즈마 프로세싱 장치는, RF 에너지, 마이크로파 에너지, 자기장 등을 이용하여 플라즈마를 생성하는 에너지 소스를 포함하는 저-밀도, 중-밀도 또는 고-밀도 플라즈마 리액터 (reactor) 일 수 있다. 예를 들어, 고-밀도 플라즈마는 유도 결합 플라즈마 리액터, ECR (electron-cyclotron resonance) 플라즈마 리액터, 용량형 방전 등으로 알려진 TCPTM (transformer coupled plasma) 리액터에서 생성될 수 있다. 가스 분배 시스템의 바람직한 실시형태가 이용될 수 있는 예시적인 플라즈마 리액터는, 미국 캘리포니아의 프레몬 트에 위치한 Lam Research Corporation 으로부터 이용 가능한 2300 ExcelanTM 플라즈마 리액터와 같은 ExcelanTM 플라즈마 리액터를 포함할 수 있다. 플라즈마 에칭 프로세스 동안, 다수의 주파수가 전극 및 정전척을 통합하는 기판 지지부에 인가될 수 있다. 대안적으로, 듀얼-주파수 플라즈마 리액터에서, 상이한 주파수는 기판으로부터 이격된, 샤워헤드 전극과 같은 전극 및 기판 지지부에 인가될 수 있다.
가스 분배 시스템의 바람직한 실시형태는, 단일 구역 또는 다수의 구역, 바람직하게, 프로세싱될 기판의 노출된 면에 인접한 가스 분배 부재의 적어도 내측 구역 및 외측 구역을 통해 플라즈마 프로세싱 챔버와 같은 진공 챔버의 내부로 제 1 가스를 공급할 수 있다. 내측 구역 및 외측 구역은 방사형으로 이격되고, 바람직하게 플라즈마 프로세싱 챔버 내에서 서로 격리된 흐름이다. 가스 분배 시스템은 제 1 가스와 상이한 제 2 가스를 진공 챔버 바이-패스 라인으로 동시에 전환할 수 있다. 바이-패스 라인은 진공 펌프 등과 유체 소통할 수 있다. 바람직한 실시형태에서, 제 1 가스는 제 1 프로세스 가스이고 제 2 가스는 상이한 프로세스 가스이다. 예를 들어, 제 1 가스는 제 1 에칭 가스 화학물질 또는 증착 가스 화학물질 일 수 있고, 제 2 가스는 상이한 에칭 가스 화학물질 또는 증착 가스 화학물질 일 수 있다. 가스 분배 시스템은, 상이하게 제어된 유량의 제 1 가스를 제 2 가스가 바이-패스 라인으로 전환되는 동안에 내측 구역 및 외측 구역 각각으로 제공할 수 있고, 그 반대의 경우도 가능하다. 이들 가스들 중 하나의 가스를 바이-패스 라인으로 전환함으로써, 진공 챔버에 공급되는 가스의 변경은 짧은 기간 내에 달성될 수 있다.
가스 분배 시스템은, 단일 구역을 포함하거나 다수의 구역을 포함하는 진공 챔버의 내부에 공급되는 제 1 가스와 제 2 가스 간에 짧은 기간 내에 가스 스위칭 또는 가스 변경을 가능하게 하는 스위칭 디바이스를 포함한다. 다수-구역 시스템에 있어서, 가스 분배 시스템은 제 2 가스가 바이-패스 라인으로 전환되는 동안에 제 1 가스를 내측 구역 및 외측 구역에 공급하고, 그 다음에 제 1 가스가 바이-패스 라인으로 전환되는 동안에 제 2 가스가 내측 구역 및 외측 구역에 공급되도록 짧은 기간 내에 가스 분배를 스위칭 할 수 있다. 가스 분배 시스템은, 상이한 가스 화학물질을 이용하는 상이한 프로세싱 동작들 (예를 들어, 반도체 디바이스의 프로세싱 방법의 교대 단계들) 사이에서 빠른 변경을 가능하게 하는 원하는 기간 동안 각각 제 1 가스 및 제 2 가스를 진공 챔버의 내부로 교대로 공급할 수 있다. 바람직한 실시형태에서, 방법 단계들은 상이한 에칭 단계들, 예를 들어 주요 에칭과 같은 고속 에칭 단계, 및 오버 에칭 단계와 같은 상대적으로 저속 에칭 단계; 에칭 단계 및 재료 증착 단계; 또는 기판 위에 상이한 재료를 증착하는 상이한 재료 증착 단계들일 수 있다.
가스 분배 시스템의 바람직한 실시형태에서, 진공 챔버 내의 한정된 영역, 바람직하게 플라즈마 한정 구역 내의 가스 조성물의 체적은 짧은 기간 내에 진공 챔버 안으로 유입된 다른 가스 조성물에 의해 대체 (즉, 플러싱 아웃) 될 수 있다. 바람직하게, 이러한 가스 대체는 가스 분배 시스템 내에 고속 스위칭 능력을 갖 는 밸브를 제공함으로써 약 1 s 미만, 더 바람직하게는 약 200 ms 미만 내에 달성될 수 있다. 플라즈마 한정 구역은 200 mm 또는 300 mm 웨이퍼를 프로세싱하기 위한 플라즈마 프로세싱 챔버에서 약 1/2 리터 내지 약 4 리터의 가스 체적을 가질 수 있다. 플라즈마 한정 구역은, 공유인 (commonly-owned) 미국특허 제 5,534,751 호에 개시된 바와 같이 한정 링의 스택에 의해 정의될 수 있으며, 그 전체가 참조로서 본 명세서에 통합될 수 있다.
도 1 은 가스 분배 시스템 (100) 의 실시형태가 이용될 수 있는 예시적인 반도체 재료 플라즈마 프로세싱 장치 (10) 를 도시한다. 이 장치 (10) 는, 플라즈마 프로세싱 동안 기판 (16) 이 지지되는 기판 지지부 (14) 를 포함하는 내부를 갖는 진공 챔버 또는 플라즈마 프로세싱 챔버 (12) 를 포함한다. 기판 지지부 (14) 는, 프로세싱 동안 기판 지지부 (14) 상의 기판 (16) 을 클램핑하도록 동작 가능한 클램핑 디바이스, 바람직하게는 정전척 (18) 을 포함한다. 기판은, 그 전체가 참조로서 본 명세서에 통합되는 공유인 미국 공개특허공보 제 2003/0029567 호에 개시된 부분과 같이 포커스 링 및/또는 에지 링, 그라운드 연장부 또는 다른 부분들에 의해 둘러싸일 수 있다.
바람직한 실시형태에서, 플라즈마 프로세싱 챔버 (12) 는 약 1/2 리터 내지 약 4 리터, 바람직하게는 약 1 리터 내지 약 3 리터의 체적을 갖는 플라즈마 한정 구역을 포함한다. 예를 들어, 플라즈마 프로세싱 챔버 (12) 는, 그 전체가 참조로서 본 명세서에 통합되는 공유인 미국특허번호 제 5,534,751 호에 개시된 바와 같이 플라즈마 한정 구역을 정의하는 한정 링 배열을 포함할 수 있다. 가스 분 배 시스템은, 실질적인 역확산 (back diffusion) 없이 약 1 s 미만, 바람직하게는 약 200 ms 미만의 기간 내에 플라즈마 한정 구역 내의 이러한 체적의 가스를 다른 가스로 대체할 수 있다. 한정 링 (120) 과 같은 한정 메커니즘은, 플라즈마 체적으로부터 유체 소통을 플라즈마 체적의 바깥 쪽에 있는 플라즈마 프로세싱 챔버 (12) 의 내부의 부분들로 제한할 수 있다.
기판 (16) 은 실리콘 웨이퍼와 같은 기본 재료; 기본 재료 위에서 프로세싱 (예를 들어, 에칭) 될 재료의 중간층; 및 중간층 위의 마스킹층을 포함할 수도 있다. 중간층은 도전체, 유전체, 또는 반도체 재료일 수도 있다. 마스킹층은, 중간층 및/또는 하나 이상의 다른 층들 내에 원하는 피처 (예를 들어, 홀, 비아 및/또는 트렌치) 를 에칭하기 위해 개구 패턴을 갖는 패터닝된 포토레지스트 재료일 수 있다. 기판은, 기본 재료상에 형성된 반도체 디바이스의 유형에 따라 기본층과 마스킹층 사이에 도전체, 유전체, 또는 반도체 재료의 추가층을 포함할 수 있다.
프로세싱될 수 있는 예시적인 유전체 재료들은, 예를 들어, 플루오로화 실리콘 옥사이드와 같은 도핑된 실리콘 옥사이드; 실리콘 다이옥사이드와 같은 도핑되지 않는 실리콘 옥사이드; 스핀-온 글래스; 실리케이트 글래스; 도핑 또는 도핑되지 않은 열 실리콘 옥사이드; 및 도핑 또는 도핑되지 않은 TEOS 증착된 실리콘 옥사이드이다. 이러한 유전체 재료는, 다결정 실리콘과 같은 도전체 또는 반도체층; 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 및 그 합금과 같은 금속; 티타늄 질화물과 같은 질화물; 및 티타늄 규화물, 텅스텐 규화물 및 몰리브덴 규화물과 같 은 금속 규화물들 위에 놓일 수 있다.
도 1 에 도시된 예시적인 플라즈마 프로세싱 장치 (10) 는, 플라즈마 챔버의 벽을 형성하는 지지판 (20), 및 지지판에 부착된 샤워헤드를 갖는 샤워헤드 (22) 전극 어셈블리를 포함한다. 배플 (baffle) 어셈블리가 샤워헤드 (22) 와 지지판 (20) 사이에 배치되어, 샤워헤드의 후면 (28) 에 프로세스 가스를 균일하게 분배한다. 배플 어셈블리는 하나 이상의 배플판을 포함할 수 있다. 실시형태에서, 배플 어셈블리는 배플판들 (30A, 30B, 및 30C) 을 포함한다. 개방 플레넘들 (48A, 48B 및 48C) 은 배플판들 (30A, 30B, 및 30C) 사이; 및 배플판 (30C) 과 샤워헤드 (22) 사이에서 정의된다. 배플판들 (30A, 30B 및 30C) 및 샤워헤드 (22) 는 플라즈마 프로세싱 챔버 (12) 의 내부로 프로세스 가스를 흐르게하기 위한 관통로 (through passage) 를 포함한다.
제 1 주파수 튜닝된 RF 전원 (104) 은 제어기 (500) 에 제어 가능하게 접속되고, 제 1 기계적 매치 박스 (106) 를 통해 샤워헤드 전극 (22) 에 전력을 제공한다. 제 1 주파수 튜닝된 RF 전원 (104) 은 가변 주파수를 제공하고, 본 실시형태에서 가변 주파수는 1.7 MHz 내지 2.2 MHz 의 범위에 이르므로, 2 MHz 는 가변 주파수 범위 내에 놓인다. 제 1 주파수 튜닝된 RF 전원은 출력 전력을 수신하고 반사된 RF 전력을 측정하여, 1.7 MHz 내지 2.2 MHz 의 주파수 범위에서 주파수를 변화시켜, 제 1 주파수 튜닝된 RF 전원 (104) 으로부터 반사된 RF 전력을 최소화하도록 형성된다.
제 2 주파수 튜닝된 RF 전원 (108) 은 제어기 (500) 에 제어 가능하게 접속 되고, 제 2 기계적 매치 박스 (110) 를 통해 샤워헤드 전극 (22) 에 전력을 제공한다. 제 2 주파수 튜닝된 RF 전원 (108) 은 가변 주파수를 제공하고, 본 실시형태에서 가변 주파수는 26.7 MHz 내지 27.2 MHz 범위에 이르므로, 27 MHz 는 가변 주파수 범위 내에 놓인다. 제 2 주파수 튜닝된 RF 전원은 출력 전력을 수신하고 반사된 RF 전력을 측정하여, 26.7 MHz 내지 27.2 MHz 의 주파수 범위에서 주파수를 변화시켜, 제 2 주파수 튜닝된 RF 전원 (108) 으로부터 반사된 RF 전력을 최소화하도록 형성된다.
제 3 주파수 튜닝된 RF 전원 (112) 은 제어기 (500) 에 제어 가능하게 접속되고, 제 3 기계적 매치 박스 (114) 를 통해 샤워헤드 전극 (22) 에 전력을 제공한다. 제 3 주파수 튜닝된 RF 전원 (112) 은 가변 주파수를 제공하고, 본 실시형태에서 가변 주파수는 59.7 MHz 내지 60.2 MHz 범위에 이르므로, 60 MHz 는 가변 주파수 범위 내에 놓인다. 제 3 주파수 튜닝된 RF 전원 (112) 은 출력 전력을 수신하고 반사된 RF 전력을 측정하여, 59.7 MHz 내지 60.2 MHz 의 주파수 범위에서 주파수를 변화시켜, 제 3 주파수 튜닝된 RF 전원 (112) 으로부터 반사된 RF 전력을 최소화하도록 형성된다.
본 실시예에서, 제 1, 제 2, 및 제 3 주파수 튜닝된 RF 전원은 0.5 MHz 의 범위에 걸쳐 주파수를 변화시켜, RF 튜닝을 제공한다. 다른 실시형태에서, 주파수 튜닝된 RF 전원은 2 MHz 미만의 범위에 걸쳐 주파수를 변화시킨다. 더 바람직하게, 주파수 튜닝된 RF 전원은 1 MHz 미만의 범위에 걸쳐 주파수를 변화시킨다. 튜닝 범위는 반사된 전력을 최소화할 만큼 충분히 커야 하고, 고속 튜닝을 허용할 만큼 충분히 작아야 한다.
본 실시형태에서, 판 (20) 과 배플판 (30A) 사이의 플레넘, 및 배플판들 (30A, 30B 및 30C) 사이의 플레넘들 (48A, 48B 및 48C) 은 O-링과 같은 실들 (38a, 38b, 38c 및 38d) 에 의해 내측 구역 (42) 및 외측 구역 (46) 으로 분할된다. 바람직하게는 제어기 (500) 의 제어 하에서, 내측 구역 (42) 및 외측 구역 (46) 에는 가스 분배 시스템 (100) 에 의해 상이한 개별 가스 화학물질 및/또는 유량을 갖는 프로세스 가스가 공급될 수 있다. 내측 구역 가스 공급기 (40) 에서 내측 구역 (42) 안으로 가스가 공급되고, 외측 구역 가스 공급기 (44) 에서 고리 모양의 채널 (44a) 안으로 가스가 공급된 후 외측 구역 (46) 으로 공급된다. 배플판들 (30A, 30B 및 30C) 및 샤워헤드 (22) 내의 통로를 통해 플라즈마 프로세싱 챔버 (12) 의 내부로 프로세스 가스가 흘러 들어간다.
다른 바람직한 실시형태에서, 플라즈마 프로세싱 장치 (10) 는 플라즈마 프로세싱 챔버 안으로 프로세스 가스를 주입하기 위한 가스 주입 시스템을 포함할 수 있다. 예를 들어, 가스 주입 시스템은, 공유인 미국특허출원 제 09/788,365 호, 미국특허출원 제 10/024,208 호, 미국특허 제 6,013,155 호, 또는 미국특허 제 6,270,862 호에 개시된 바와 같은 구성을 가질 수 있으며, 그 전체가 참조로서 본 명세서에 각각 통합된다.
프로세스 가스는, 전극 (22) 을 구동하는 RF 전원와 같은 전원, 또는 기판 지지부 (14) 내의 전극을 구동하는 전원에 의해 플라즈마 프로세싱 챔버 (12) 내에서 플라즈마 상태로 에너자이징 (energizing) 된다. 전극 (22) 에 인가되는 RF 전력은, 상이한 가스 조성물이 바람직하게 약 1 s 미만, 더 바람직하게는 약 200 ms 미만의 기간 내에 플라즈마 프로세싱 챔버 (12) 안으로 공급될 때 변화될 수 있다. 가스 조성물에서의 변화는 가스로부터의 부하 또는 임피던스를 변경할 수 있다. 제 1, 제 2, 및 제 3 RF 전원 (104, 108, 112) 은 기계적 임피던스 매칭 디바이스를 가질 수도 있으나, 이러한 디바이스는, 상이한 가스 조성물이 약 1 s 미만의 기간들 동안 제공될 때 변경 임피던스를 매칭하기에 충분히 고속이 아닐 수도 있다. 그러므로, 제 1, 제 2, 및 제 3 RF 전원은 가변 주파수를 가지며, 출력 및 반사된 RF 전력을 측정하고 반사된 RF 전력을 최소화하도록 주파수를 변화시킬 수 있다. 반사된 RF 전력을 최소화하는 것은 프로세싱 챔버 내에서 플라즈마로부터의 부하 임피던스를 매치박스를 통한 RF 전원과 매칭시킨다.
도 2 는 가스 분배 시스템 (100) 이 서로 유체 소통되는 가스 공급부 (200), 흐름 제어부 (300), 및 가스 스위칭부 (400) 를 포함하는 바람직한 실시형태를 나타낸다. 또한 바람직하게, 가스 분배 시스템 (100) 은 가스 공급부 (200), 흐름 제어부 (300) 및 가스 스위칭부 (400) 의 동작을 제어하기 위해 제어 통신되어 접속되는 제어기 (500, 도 1) 를 포함한다.
가스 분배 시스템 (100) 에서, 가스 공급부 (200) 는 제 1 프로세스 가스 및 제 2 프로세스 가스와 같은 상이한 가스를 각각 제 1 가스 라인 (235) 및 제 2 가스 라인 (245) 을 통해 흐름 제어부 (300) 에 공급할 수 있다. 제 1 가스 및 제 2 가스는 서로 상이한 조성 및/또는 가스 유량을 가질 수 있다.
흐름 제어부 (300) 는 스위칭부 (400) 에 공급될 수 있는 상이한 가스들의 유량을 제어하고, 또한 선택적으로 그 조성을 조정하도록 동작 가능하다. 흐름 제어부 (300) 는 제 1 가스 및 제 2 가스의 상이한 유량 및/또는 화학물질을 가스 통로들 (324, 326 및 364, 366) 각각을 통해 스위칭부 (400) 에 제공할 수 있다. 또한, (터보 펌프와 러핑 펌프 (roughing pump) 사이와 같이 진공 펌핑 시스템과 유체 소통될 수 있는 바이-패스 라인 (50) 으로 다른 가스가 전환되는 동안에) 플라즈마 프로세싱 챔버 (12) 에 공급되는 제 1 가스 및/또는 제 2 가스의 유량비 및/또는 화학물질은 내측 구역 (42) 및 외측 구역 (46) 에서 상이할 수 있다. 따라서, 흐름 제어부 (300) 는 기판 (16) 에 걸쳐 원하는 가스 흐름 및/또는 가스 화학물질을 제공할 수 있고, 이에 의해 기판 프로세싱 균일도를 향상시킬 수 있다.
가스 분배 시스템 (100) 에서, 스위칭부 (400) 는, 제 1 가스를 바이-패스 라인으로 동시에 전환하는 동안에 하나의 구역 또는 다수의 구역 (예를 들어, 내측 구역 (42) 및 외측 구역 (46)) 에서 제 1 가스가 제 2 가스로 대체되도록 하는 짧은 기간 내에 제 1 가스에서 제 2 가스로 스위칭되거나, 그 반대의 경우가 동작 가능 하다. 바람직하게, 가스 스위칭부 (400) 는 다른 가스의 흐름에서 원하지 않은 압력 서지 (surge) 및 흐름 불안정의 발생 없이 제 1 가스와 제 2 가스 사이에서 스위칭 할 수 있다. 원한다면, 가스 분배 시스템 (100) 은 플라즈마 프로세싱 챔버 (12) 를 통해 실질적으로 일정한 제 1 가스 및 제 2 가스의 연속 체적의 유량을 유지할 수 있다.
도 3 은 가스 분배 시스템 (100) 의 가스 공급부 (200) 의 바람직한 실시형태를 나타낸다. 바람직하게, 가스 공급부 (200) 는 밸브 및 흐름 제어기와 같 은 흐름 제어 컴포넌트의 동작을 제어하는 제어기 (500) 에 접속되어, 가스 공급부 (200) 에 의해 공급될 수 있는 2 개 이상의 가스의 조성물의 제어를 가능하게 한다. 본 실시형태에서, 가스 공급부 (200) 는 다수의 가스 소스들 (202, 204, 206, 208, 210, 212, 214 및 216) 을 포함하고, 각 가스 소스는 제 1 가스 라인 (235) 및 제 2 가스 라인 (245) 과 유체 소통된다. 이와 같이, 가스 공급부 (200) 는 많은 상이한 원하는 가스 혼합물을 플라즈마 프로세싱 챔버 (12) 에 공급할 수 있다. 가스 분배 시스템 (100) 에 포함된 가스 소스의 개수는 임의의 특정 가스 소스 개수에 한정되지 않지만, 바람직하게는 적어도 2 개의 상이한 가스 소스를 포함한다. 예를 들어, 가스 공급부 (200) 는 도 3 에 도시된 실시형태에 포함된 8 개의 가스 소스보다 많거나 적은 가스 소스를 포함할 수 있다. 예를 들어, 가스 공급부 (200) 는 2 개, 3 개, 4 개, 5 개, 10 개, 12 개, 16 개, 또는 이보다 많은 가스 소스를 포함할 수 있다. 각각의 가스 소스에 의해 제공될 수 있는 상이한 가스들은 O2, Ar, H2, Cl2, N2 등과 같은 개별 가스, 및 CF4, CH3F 등과 같은 가스 상태의 플루오로카본 및/또는 플루오로하이드로카본 화합물을 포함한다. 바람직한 실시형태에서, 플라즈마 프로세싱 챔버는 에칭 챔버이고, 가스 소스들 (202-216) 은 Ar, O2, N2, Cl2, CH3, CF4, C4F8 및 CH3F 또는 CHF3 를 (이들의 임의의 적합한 순서로) 공급할 수 있다. 각 가스 소스들 (202-216) 에 의해 공급된 특정 가스는, 플라즈마 프로세싱 챔버 (12) 내에서 수행되는 원하는 프로세스 (예를 들어, 특정 건식 에칭 및/또는 재료 증착 프로세스) 에 기초하여 선택될 수 있다. 가스 공급부 (200) 는, 에칭 프로세스 및/또는 재료 증착 프로세스를 수행하기 위해 공급될 수 있는 가스의 선택에 관하여 광범위한 다목적성을 제공할 수 있다.
또한 바람직하게, 가스 공급부 (200) 는 적어도 하나의 튜닝 가스 소스를 포함하여, 가스 조성을 조정한다. 튜닝 가스는, 예를 들어 O2, 아르곤과 같은 불활성 가스, 또는 플루오로카본이나 플루오로하이드로카본 가스 (예를 들어, C4F8) 와 같은 반응성 가스일 수 있다. 도 3 에 도시된 실시형태에서, 가스 공급부 (200) 는 제 1 튜닝 가스 소스 (218) 및 제 2 튜닝 가스 소스 (219) 를 포함한다. 후술되는 바와 같이, 제 1 튜닝 가스 소스 (218) 및 제 2 튜닝 가스 소스 (219) 는 튜닝 가스를 공급하여, 가스 스위칭부 (400) 에 공급된 제 1 가스 및/또는 제 2 가스의 조성을 조정할 수 있다.
도 3 에 도시된 가스 공급부 (200) 의 실시형태에서, 흐름 제어 디바이스 (240) 는 바람직하게 가스 소스들 (202, 204, 206, 208, 210, 212, 214 및 216) 각각과 유체 소통하는 가스 통로들 (222, 224, 226, 228, 230, 232, 234 및 236) 각각에 배치되고, 또한 제 1 튜닝 가스 소스 (218) 및 제 2 튜닝 가스 소스 (219) 각각과 유체 소통하는 가스 통로들 (242, 244) 에 배치된다. 흐름 제어 디바이스 (240) 는 연관된 가스 소스들 (202-216 및 218, 219) 에 의해 공급된 가스의 흐름을 제어하도록 동작 가능하다. 바람직하게 흐름 제어 디바이스 (240) 는 질량 흐름 제어기 (MFC) 이다.
도 3 에 도시된 실시형태에서, 밸브 (250, 252) 는 가스 소스들 (202-216) 각각의 하류의 가스 통로를 따라 위치한다. 밸브들 (250, 252) 은 바람직하게 제어기 (500) 의 제어 하에서 선택적으로 개폐되어, 상이한 가스 혼합물이 제 1 가스 라인 (235) 및/또는 제 2 가스 라인 (245) 으로 흘러 들어가도록 할 수 있다. 예를 들어, 가스 소스들 (202-216) 중 하나 이상과 연관된 밸브 (252) 를 개방함으로써 (반면, 가스 소소들 (202-216) 중 다른 가스 소스들과 연관된 나머지 밸브 (252) 는 닫힘), 제 1 가스 혼합물은 제 1 가스 라인 (235) 에 공급될 수 있다. 마찬가지로, 다른 가스 소스들 (202-216) 중 하나 이상과 연관된 밸브들 (250) 을 개방함으로써 (반면, 가스 소스들 (202-216) 중 다른 가스 소스들과 연관된 나머지 밸브들 (250) 은 폐쇄됨), 제 2 가스 혼합물은 제 2 가스 라인 (245) 에 공급될 수 있다. 따라서, 제 1 가스 및 제 2 가스의 각종 혼합물 및 질량 유량은 가스 공급부 (200) 의 제어된 동작에 의해 제 1 가스 라인 (235) 및 제 2 가스 라인 (245) 에 공급될 수 있다.
바람직한 실시형태에서, 가스 공급부 (200) 는 제 1 가스 라인 (235) 및 제 2 가스 라인 (245) 각각을 통해 제 1 가스 및 제 2 가스의 연속적인 흐름을 제공하도록 동작 가능하다. 제 1 가스 또는 제 2 가스는 다른 가스가 바이-패스 라인으로 전환되는 동안에 플라즈마 프로세싱 챔버 (12) 로 흐른다. 바이-패스 라인은 진공 펌프 등에 연결될 수 있다. 제 1 가스 및 제 2 가스 양자 모두를 연속적으로 흐르게 함으로써, 가스 분배 시스템 (100) 은 가스 흐름의 신속한 변경을 달성할 수 있다.
도 4 는 가스 분배 시스템 (100) 의 흐름 제어부 (300) 의 바람직한 실시형태를 나타낸다. 흐름 제어부 (300) 는 가스 공급부 (200) 로부터의 제 1 가스 라인 (235) 과 유체 소통되는 제 1 흐름 제어부 (305), 및 제 2 가스 공급부 (200) 로부터의 제 2 가스 라인 (245) 과 유체 소통되는 제 2 흐름 제어부 (315) 를 포함한다. 흐름 제어부 (300) 는, 제 2 가스가 바이-패스 라인으로 전환되는 동안에 내측 구역 (42) 및 외측 구역 (46) 각각에 공급된 제 1 가스의 비율을 제어하고, 제 1 가스가 바이-패스 라인으로 전환되는 동안에 내측 구역 (42) 및 외측 구역 (46) 각각에 공급된 제 2 가스의 비율을 제어하도록 동작 가능하다. 제 1 흐름 제어부 (305) 는 제 1 가스 라인 (235) 에서 유입된 제 1 가스의 흐름을 2 개의 분리된 제 1 가스 유출 흐름으로 분할하고, 제 2 흐름 제어부 (315) 는 제 2 가스 라인 (245) 에서 유입된 제 1 가스의 흐름을 2 개의 분리된 제 2 가스 유출 흐름으로 분할한다. 제 1 가스 흐름 제어부 (305) 는 스위칭 시스템 (400) 을 통해 내측 구역 (42) 및 외측 구역 (46) 각각과 유체 소통되는 제 1 가스 통로 (324) 및 제 2 가스 통로 (326) 를 포함하고, 제 2 흐름 제어부 (315) 는 스위칭 시스템 (400) 을 통해 내측 구역 (42) 및 외측 구역 (46) 각각과 유체 소통되는 제 1 가스 통로 (364) 및 제 2 가스 통로 (366) 를 포함한다.
바람직한 배열에서, 제 1 흐름 제어부 (305) 및 제 2 흐름 제어부 (315) 각각은 적어도 2 개의 흐름 제한기 (restrictor) 를 포함한다. 각각의 흐름 제한기는 바람직하게 흐름 제한기를 통한 가스 흐름을 위해 고정된 제한 크기를 갖는다. 바람직하게 흐름 제한기는 오리피스 (orifice) 이다. 흐름 제한기는 가스 흐름을 제한하고 오리피스 근방 및 상류의 가스 통로 영역에서 거의 일정한 가스 압력을 유지한다. 바람직하게, 제 1 흐름 제어부 (305) 및 제 2 흐름 제어부 (315) 각각은 오리피스의 네트워크, 예를 들어, 2 개, 3 개, 4 개, 5 개 이상의 오리피스의 네트워크를 포함하고, 바람직하게 오리피스들 각각은 상이한 단면 제한 크기, 예를 들어, 상이한 직경 또는 상한 단면적을 갖는다. 오리피스의 제한 크기는 가스 분배 시스템 (100) 의 가스 흐름 경로의 다른 부분의 단면적 보다 더 작다. 바람직하게, 오리피스는 소닉 (sonic) 오리피스이다. 바람직하게, 가스 흐름은 흐름 제어부 (300) 에서 중요한 흐름 방식에서 동작하여 소정의 오리피스의 흐름 컨덕턴스는 오리피스의 제한 크기 및 상류 압력에 의해 단독으로 결정된다. 오리피스의 흐름 컨덕턴스가 증가함에 따라, 압력은 오리피스에 걸쳐 하강하여 오리피스의 하강을 통해 소정의 유량을 달성한다.
도 4 에 도시된 실시형태에서, 제 1 흐름 제어부 (305) 및 제 2 흐름 제어부 (315) 각각은 5 개의 오리피스들 (330, 332, 334, 336 및 338) 을 포함한다. 예를 들어, 오리피스들 (330, 332, 334, 336 및 338) 은 상대적인 제한 크기, 예를 들어 1, 2, 4, 8, 및 16 의 직경을 가질 수 있다. 따라서, 5 개의 오리피스들 (330-338) 을 통해 가스 흐름이 발생하는 경우, 4 개의 오리피스들 (330-336) 은 1 개의 오리피스 (338) 의 컨덕턴스와 거의 동일한 총 컨덕턴스를 갖는다. 대안적으로, 제 1 가스 흐름 및 제 2 가스 흐름의 상이한 비율을 내측 구역 (42) 및 외측 구역 (46) 으로 공급하기 위해서, 4 개의 오리피스들 (330-336) 중 3 개까지 개방되어 오리피스 (338) 의 컨덕턴스와 비교하여 상이한 총 컨덕턴스의 비율의 오리 피스들 (330-336) 을 제공할 수 있다.
다른 실시형태는 상이한 개수의 오리피스들, 예를 들어 다수의 오리피스들 (330-336) 을 대체하는 오리피스 (338) 및 제 2 오리피스를 포함하는 총 2 개의 오리피스를 포함할 수 있다. 바람직하게, 제 2 오리피스는 오리피스 (338) 와 동일한 제한 크기를 갖는다. 이러한 실시형태에서, 내측 구역 (42) 및 외측 구역 (46) 에 공급된 제 1 가스 및/또는 제 2 가스의 흐름 비율은 거의 1:1 이다.
바람직하게, 밸브 (320) 는 개별 오리피스들 (330-338) 각각의 상류에 배치되어, 제 1 가스 및 제 2 가스의 흐름을 제어한다. 예를 들어, 제 1 흐름 제어부 (305) 및/또는 제 2 흐름 제어부 (315) 에서, 밸브들 (320) 중 하나 이상이 개방되어, 제 1 가스 및/또는 제 2 가스가 하나 이상의 연관된 오리피스(들) (330-336) 로 흐르도록 하는 동안에, 다른 밸브 (320) 가 개방되어 제 1 가스 및/또는 제 2 가스가 오리피스(들) (338) 로 흐르도록 할 수 있다.
제 1 흐름 제어부 (305) 에서, 오리피스들 (330-336) 은 가스 통로 (322) 와 유체 소통된다. 가스 통로 (322) 는 가스 스위칭부와 유체 소통되는 제 1 가스 통로 (324) 및 제 2 가스 통로 (326) 로 나누어진다. 한 쌍의 밸브 (320) 는 제 1 가스 통로 (324) 및 제 2 가스 통로 (326) 내에 배치되어, 제 1 흐름 제어부 (305) 의 오리피스들 (330-336) 중 하나 이상을 통해 내측 구역 (42) 및/또는 외측 구역 (46) 으로 흐르는 제 1 가스의 흐름을 제어한다. 대안적인 실시형태에서, 가스 통로들 (324, 326) 을 따라 배치된 한 쌍의 밸브 (320) 는 단일의 사방 밸브에 의해 대체될 수 있다.
제 1 흐름 제어부 (305) 에서, 오리피스 (338) 는 가스 통로 (319) 를 따라 배열된다. 가스 통로 (319) 는 제 1 가스 통로 (324) 및 제 2 가스 통로 (326) 각각과 유체 소통되는 가스 통로들 (331, 333) 로 나누어진다. 한 쌍의 밸브 (320) 는 가스 통로들 (331, 333) 내에 배치되어, 오리피스 (338) 를 통해 제 1 가스 통로 (324) 및 제 2 가스 통로 (326) 로 흐르는 제 1 가스의 흐름을 제어한다. 대안적인 실시형태에서, 가스 통로들 (331, 333) 을 따라 배치된 한 쌍의 밸브 (320) 는 단일의 사방 밸브에 의해 대체될 수 있다.
제 2 흐름 제어부 (315) 에서, 한 쌍의 밸브 (320) 는 제 1 가스 통로 (364) 및 제 2 가스 통로 (366) 을 따라 배치되어, 오리피스들 (330-336) 중 하나 이상을 통해 플라즈마 프로세싱 챔버의 내측 구역 (42) 및 외측 구역 (46) 으로 흐르는 제 2 가스의 흐름을 제어한다. 대안적인 실시형태에서, 가스 통로들 (364, 366) 을 따라 배치된 한 쌍의 밸브 (320) 는 단일의 사방 밸브에 의해 대체될 수 있다.
제 2 흐름 제어부 (315) 에서, 오리피스 (338) 는 가스 통로 (359) 를 따라 배열된다. 가스 통로 (359) 는 제 1 가스 통로 (364) 및 제 2 가스 통로 (366) 각각과 유체 소통되는 가스 통로들 (372, 374) 로 분할된다. 한 쌍의 밸브 (320) 가 가스 통로들 (372, 374) 내에 배치되어, 오리피스 (338) 을 통해 제 1 가스 통로 (364) 및/또는 제 2 가스 통로 (366) 로 흐르는 제 2 가스의 흐름을 제어한다. 대안적인 실시형태에서, 가스 통로들 (372, 374) 을 따라 배치된 한 쌍의 밸브 (320) 는 단일의 사방 밸브에 의해 대체될 수 있다.
가스 분배 시스템 (100) 이 플라즈마 프로세싱 챔버 (12) 안으로 흐르는 가 스를 제 1 가스에서 제 2 가스로 변경하는 경우 및 그 반대의 경우, 오리피스들 (330-338) 은 흐름 제어부 (300) 내에 포함되어, 가스 흐름에서 압력 서지 및 흐름 불안정을 방지한다.
도 4 에 도시된 실시형태에서, 제 1 튜닝 가스 소스 (218, 도 3) 의 가스 통로 (242) 는 제 1 튜닝 가스를 제 1 흐름 제어부 (305) 의 제 1 가스 통로 (324) 및/또는 제 2 가스 통로 (326) 에 공급하여, 제 1 가스 조성을 조정하도록 배열된다. 제 2 튜닝 가스 소스 (219, 도 3) 의 가스 통로 (244) 는 제 2 흐름 제어부 (315) 의 제 1 가스 통로 (364) 및/또는 제 2 가스 통로 (366) 에 제 2 튜닝 가스를 공급하여, 제 2 가스 조성을 조정하도록 배열된다. 제 1 튜닝 가스 및 제 2 튜닝 가스는 동일한 튜닝 가스 또는 상이한 튜닝 가스일 수 있다.
흐름 제어 디바이스 (340), 바람직하게 MFC 는 가스 통로 (242) 를 따라 배열된다. 밸브 (320) 는 가스 통로들 (337, 339) 을 따라 배치되어, 제 1 튜닝 가스의 흐름을 가스 통로들 (326, 324) 내로 각각 제어한다. 대안적인 실시형태에서, 가스 통로들 (337, 339) 을 따라 배치된 한 쌍의 밸브 (320) 는 단일의 사방 밸브에 의해 대체될 수 있다.
흐름 제어 디바이스 (340), 바람직하게 MFC 는 가스 통로 (244) 를 따라 배열된다. 밸브 (320) 는 가스 통로들 (376, 378) 를 따라 배치되어, 제 2 튜닝 가스의 흐름을 가스 통로들 (366, 364) 내로 각각 제어한다. 대안적인 실시형태에서, 가스 통로들 (376, 378) 을 따라 배치된 한 쌍의 밸브 (320) 는 단일의 사방 밸브에 의해 대체될 수 있다.
도 4 에 도시된 흐름 제어부 (300) 의 실시형태에서, 제 1 흐름 제어부 (305) 및 제 2 흐름 제어부 (315) 는 동일한 구성에 배열된 동일한 컴포넌트를 포함한다. 그러나, 가스 분배 시스템 (100) 의 다른 바람직한 실시형태에서, 제 1 흐름 제어부 (305) 및 제 2 흐름 제어부 (315) 는 서로 상이한 컴포넌트 및/또는 상이한 구성을 가질 수 있다. 예를 들어, 제 1 흐름 제어부 (305) 및 제 2 흐름 제어부 (315) 는 서로 상이한 개수의 오리피스 및/또는 상이한 제한 크기를 갖는 오리피스들을 포함할 수 있다.
가스 분배 시스템 (100) 에서, 가스 스위칭 시스템 (400) 은 흐름 제어부 (300) 와 유체 소통되고, 제 1 가스 및 제 2 가스가 흐르는 바이-패스 라인과 진공 챔버의 내부와 유체 소통된다. 가스 스위칭 시스템 (400) 의 바람직한 제 1 실시형태는 도 5 에 도시된다. 가스 스위칭 시스템 (400) 은 플라즈마 프로세싱 챔버 (12) 의 내측 구역 (42) 및 외측 구역 (46) 양자 모두에 제 1 가스 및 제 2 가스를 교대로 공급할 수 있다. 가스 스위칭 시스템 (400) 은 제 1 흐름 제어부 (305) 의 제 1 가스 통로 (324) 와 제 2 가스 통로 (326) 와 유체 소통되고, 제 2 흐름 제어부 (315) 의 제 1 가스 통로 (326) 와 제 2 가스 통로 (366) 와 유체 소통된다. 오리피스 (430) 는 가스 통로들 (324, 326, 364 및 366) 각각을 따라 배열되어, 제 1 가스 및 제 2 가스를 변경하는 동안 원하지 않는 압력 서지를 방지한다.
제 1 흐름 제어부 (305) 의 제 1 가스 통로 (324) 는 가스 통로들 (448, 450) 으로 나누어진다; 제 1 흐름 제어부 (305) 의 제 2 가스 통로 (326) 는 가스 통로들 (442, 444) 로 나누어진다; 제 2 흐름 제어부 (315) 의 제 1 가스 통로 (364) 는 가스 통로들 (452, 454) 로 나누어진다; 또한, 제 2 흐름 제어부 (315) 의 제 2 가스 통로 (366) 는 가스 통로들 (456, 458) 로 나누어진다. 본 실시형태에서, 가스 통로 (442) 는 플라즈마 챔버 (12) 의 외측 구역 (46) 과 유체 소통되고, 가스 통로 (448) 는 플라즈마 프로세싱 챔버 (12) 의 내측 구역 (42) 과 유체 소통되며, 가스 통로 (444) 는 바이-패스 라인을 제공한다. 가스 통로 (456) 는 외측 구역 (46) 으로의 가스 통로 (442) 와 유체 소통된다. 가스 통로 (452) 는 내측 구역 (42) 으로의 가스 통로 (448) 와 유체 소통된다. 가스 통로들 (450, 454 및 458) 은 바이-패스 라인으로의 가스 통로 (444) 와 유체 소통된다.
가스 통로들 (442, 444, 448, 450, 452, 454, 456, 및 458) 의 각각을 따라 밸브 (440) 가 배열된다. 대안적인 실시형태에서, 가스 통로들 (442, 444; 448, 450; 452, 454; 및 456, 458) 을 따라 배치된 한 쌍의 밸브들 (440) 각각이 단일의 사방 밸브에 의해 대체될 수 있다. 밸브 (440) 는 바람직하게 제어기 (500) 의 제어 하에서 선택적으로 개폐되어, 다른 가스를 바이-패스 라인으로 동시에 전환하는 동안 제 1 가스 또는 제 2 가스를 챔버에 공급할 수 있다.
예를 들어, 플라즈마 프로세싱 챔버 (12) 의 내측 구역 (42) 및 외측 구역 (46) 에 제 1 가스를 공급하고 제 2 가스를 바이-패스 라인으로 전환하기 위해서, 가스 통로들 (444, 450 및 452, 456) 을 따른 밸브 (440) 가 폐쇄되는 동안 가스 통로들 (442, 448 및 454, 458) 을 따른 밸브 (440) 가 개방된다. 제 1 가스가 바이-패스 라인으로 전환되는 동안, 제 2 가스가 플라즈마 프로세싱 챔버 (12) 의 내측 구역 (42) 및 외측 구역 (46) 에 공급되고, 가스 통로 (442, 448 및 454, 458) 를 따른 밸브 (440) 가 폐쇄되는 동안 가스 통로 (444, 450 및 452, 456) 를 따른 밸브 (440) 가 개방되도록 가스 흐름을 스위칭한다. 다시 말하면, 제 1 그룹의 밸브 (440) 는 개방되고 제 2 그룹의 밸브 (440) 는 폐쇄되어, 플라즈마 프로세싱 챔버 (12) 에 제 1 가스를 공급하고, 그 다음에, 동일한 제 1 그룹의 밸브가 폐쇄되고 동일한 제 2 그룹의 밸브 (440) 가 개방되어 플라즈마 프로세싱 챔버로 제 2 가스를 공급하도록 가스 흐름을 변경한다.
가스 스위칭 시스템 (400) 에서, 밸브 (440) 는 고속 스위칭 밸브이다. 본 명세서에 이용된 바와 같이, 고속 스위칭 밸브라는 용어는, 제어기 (500) 로부터 개방 또는 폐쇄하라는 신호를 수신한 후, 짧은 기간, 바람직하게는 약 100 ms 미만, 더 바람직하게는 약 50 ms 미만 내에서 개방되거나 폐쇄될 수 있는 밸브를 의미한다. 바람직하게, 밸브 (440) 는 제어기 (500) 로부터 개방 또는 폐쇄하라는 신호를 수신함으로써 전자적으로 제어 및 작동된다. 가스 스위칭 시스템 (400) 에 이용될 수 있는 적절한 "고속-스위칭 밸브" 는 미국 캘리포니아 산타 클라라에 위치한 Fujikin of America 사로부터 입수 가능한 밸브 모델 넘버 FSR-SD-71-6.35 이다.
따라서, 가스 스위칭 시스템 (400) 은, 제 2 가스를 바이-패스 라인으로 전환하는 동안에 예를 들어, 진공 챔버의 내부에 제 1 가스를 공급하고, 그 다음에, 바람직하게 제어기 (500) 의 제어 하에서 이들 가스 흐름을 빠르게 스위칭하고, 제 1 가스를 바이-패스 라인으로 전환하는 동안 제 2 가스를 진공 챔버로 공급한다. 가스가 스위칭되기 전에 제 1 가스 또는 제 2 가스가 진공 챔버에 공급되는 시간의 양은 제어기 (500) 에 의해 제어될 수 있다. 바람직하게, 연관된 오리피스들 (430) 과 밸브들 (440) 사이의 가스 통로들 (324, 326, 364, 및 366) 의 체적은 약 10 cm3 미만이다. 전술된 바와 같이, 가스 분배 시스템은 약 1 s 미만, 더 바람직하게는 약 200 ms 미만의 기간 내에 약 1/2 리터 내지 약 4 리터의 가스 체적을 대체하는 플라즈마 한정 구역을 포함하는 플라즈마 프로세싱 챔버와 함께 이용됨으로써 시스템을 안정시킨다.
제 2 바람직한 실시형태에 따른 가스 스위칭 시스템 (1400) 이 도 6 에 도시된다. 가스 스위칭 시스템 (1400) 에서, 밸브 (440) 및 밸브 (440) 의 하류에 배치되는 오리피스 (430) 는 가스 통로들 (442-458) 각각을 따라 배열된다. 이와 다르게, 가스 스위칭 시스템 (1400) 은 가스 스위칭 시스템 (400) 과 동일한 구성을 가질 수 있다. 오리피스 (430) 는 가스의 스위칭 동안 원하지 않는 압력 서지를 방지한다. 대안적인 실시형태에서, 가스 통로들 (442, 444; 448, 450; 452, 454; 및 456, 458) 을 따라 배치된 밸브 (440) 의 쌍들 각각은 단일의 사방 밸브로 대체될 수 있다.
제 3 바람직한 실시형태에 따른 가스 스위칭 시스템 (2400) 이 도 7 에 도시된다. 본 실시형태에서, 가스 스위칭 시스템 (2400) 은 제 1 가스 통로 (405) 및 제 2 가스 통로 (415) 와 유체 소통된다. 제 1 가스 통로 (405) 및 제 2 가 스 통로 (415) 는, 예를 들어 도 4 에 도시된 흐름 제어부 (300) 와 달리 내부 및 외측 구역 가스 유출구 모두를 포함하지 않는, 예를 들어, 각각 흐름 제어부의 제 1 가스 유출구 및 제 2 가스 유출구일 수 있다. 오리피스 (430) 는 제 1 가스 통로 (405) 및 제 2 가스 통로 (415) 각각을 따라 배치된다. 제 1 가스 통로 (405) 는 가스 통로들 (422, 424) 로 나누어지고, 제 2 가스 통로 (445) 는 가스 통로들 (426, 428) 로 나누어진다. 가스 통로들 (422 및 426) 은 진공 챔버의 내부와 유체 소통되고, 가스 통로들 (424 및 428) 은 바이-패스 라인과 유체 소통된다. 밸브 (440) 는 가스 통로들 (422, 424 및 426, 428) 각각을 따라 배치된다. 대안적인 실시형태에서, 가스 통로들 (422, 424; 및 426, 428) 을 따라 배치된 각 쌍의 밸브 (440) 는 단일의 사방 밸브에 의해 대체될 수 있다.
예를 들어, 진공 챔버로 제 1 가스를 공급하고 동시에 제 2 가스를 바이-패스 라인으로 라우팅하기 위해, 유체 통로들 (422 및 428) 을 따른 밸브 (440) 가 개방되고, 가스 통로들 (424 및 426) 을 따른 밸브 (440) 가 폐쇄된다. 제 2 가스가 진공 챔버에 공급되고 제 1 가스가 바이-패스 라인으로 전환되도록 가스 흐름을 스위칭하기 위해서, 유체 통로 (424 및 426) 를 따른 밸브 (440) 가 개방되고 유체 통로 (422 및 428) 를 따른 밸브 (440) 가 폐쇄된다.
가스 스위칭 시스템의 다른 바람직한 실시형태에서, 도 7 에 도시된 실시형태는, 밸브 (440) 의 상류의 제 1 가스 통로 (405) 및 제 2 가스 통로 (415) 내에 배열된 오리피스 (430) 를 제거하고, 대신에 연관된 밸브 (440) 의 하류의 가스 통로들 (422, 424, 426 및 428) 각각에 오리피스를 배열함으로써 변형될 수 있다.
가스 분배 시스템 (100) 의 바람직한 실시형태는 상이한 가스 화학물질 및/또는 유량을 플라즈마 진공 챔버 (12) 에 공급하여, 각종 에칭 및/또는 증착 프로세스를 수행하는데 이용될 수 있다. 예를 들어, 가스 분배 시스템 (100) 은 플라즈마 프로세싱 챔버에 프로세스 가스를 공급하여 UV 레지스트 마스크와 같은 위에 놓인 마스크에 의해 보호된 SiO2 층과 같은 실리콘 옥사이드 내에 피처를 에칭할 수 있다. SiO2 층은, 200 mm 또는 300 mm 의 직경을 갖는 실리콘 웨이퍼와 같은 반도체 웨이퍼 상에 형성될 수 있다. 피처는 예를 들어, 비아 및/또는 트렌치일 수 있다. 이러한 에칭 프로세스 동안, SiO2 에서 에칭된 피처가 원하는 형상을 갖기 위해, 예를 들어, 비아가 둥근 단면을 갖기 위해 줄무늬 (striation), 예를 들어 크랙 또는 균열 (fissure) 을 보수하도록 (즉, 줄무늬를 채우도록) 마스크의 일부 상에 폴리머를 증착하는 것이 요청된다. 줄무늬가 보수되지 않으면 줄무늬는 마스크의 아래에 놓인 층에 결국 도달하고, 사실상 에칭 동안 그 층으로 이동될 수 있다. 또한, 폴리머는 피처의 측벽 상에 증착될 수 있다.
그러나, 측벽에 증착된 폴리머의 두께 및 에칭된 피처의 베이스는 에칭 레이트에 영향을 주는 것으로 결정된다. 이방성 에칭 프로세스에서, 피처의 하부에 증착된 폴리머는 에칭 동안 실질적으로 제거된다. 그러나, 폴리머가 측벽 및/또는 베이스 상에서 너무 두꺼워지면, SiO2 의 에칭 레이트가 감소되고 완전히 정지될 수도 있다. 또한, 폴리머는 너무 두꺼워지면 표면에서 조각으로 벗겨질 수도 있다. 따라서, 바람직하게 마스크 및 피처 상에 증착된 폴리머를 형성하기 위한 가스 혼합물이 플라즈마 프로세싱 챔버 안으로 공급되는 시간량이 제어되고, 이에 의해 SiO2 상에 형성된 폴리머 증착물의 두께를 제어하며 또한 마스크의 충분한 보수 및 보호를 제공한다. SiO2 층의 에칭 동안, 폴리머는 마스크로부터 주기적으로 제거된다. 따라서, 바람직하게 폴리머는 SiO2 층의 에칭 주기 사이에서 마스크 상에 증착되어, 마스크의 충분한 보수 및 보호를 달성하게 한다.
가스 분배 시스템 (100) 은 플라즈마 프로세싱 챔버 안으로 프로세스 가스를 공급하여, 피처 상에 증착된 폴리머의 두께 제어 및 마스크의 보수 및 보호로 위에 놓인 마스크, 예를 들어, UV 레지스트 마스크에 의해 보호된 SiO2 를 에칭하는데 이용될 수 있다. 가스 분배 시스템 (100) 의 가스 스위칭 시스템은, SiO2 를 에칭하는데 이용되는 제 1 프로세스 가스가 제 1 기간 동안 플라즈마 프로세싱 챔버 안으로 공급되는 한편 폴리머 증착물을 형성하는데 이용되는 제 2 가스 혼합물은 바이-패스 라인으로 전환되고, 그 다음에 가스 흐름을 신속하게 스위칭하여 제 2 가스 혼합물이 플라즈마 프로세싱 챔버 안으로 공급되어 폴리머 증착물을 형성하는 한편 제 1 가스 혼합물이 바이-패스 라인으로 공급되도록 동작 가능하다. 바람직하게, 플라즈마 프로세싱 챔버의 플라즈마 한정 구역으로 공급되는 제 1 가스 혼합물은 약 1 s 미만, 더 바람직하게는 약 200 ms 미만의 기간 내에 제 2 가스 혼합물로 적어도 실질적으로 대체된다. 바람직하게, 플라즈마 한정 구역은 약 1/2 리터 내지 약 4 리터의 체적을 갖는다.
SiO2 를 에칭하는데 이용된 제 1 가스 혼합물은 예를 들어, C4F8, O2, 및 아르곤과 같은 플루오로카본 종들을 포함할 수 있다. C4F8/O2/아르곤의 흐름 비율은 예를 들어, 20/10/500 sccm 일 수 있다. 전력은, 60 MHz, 27 MHz, 및 2 MHz 주파수의 조합으로, 50 내지 5000 W 의 범위에 이를 수 있는 전력으로 제공된다. 폴리머 증착물을 형성하는데 이용되는 제 2 가스 혼합물은, 예를 들어 CH3F, 및 아르곤과 같은 플루오로하이드로카본 종을 포함할 수 있다. CH3F/아르곤의 흐름 비율은 예를 들어, 15/500 sccm 일 수 있다. 또한, 제 2 가스 혼합물은 선택적으로 O2 를 포함할 수 있다. 전력은, 60 MHz, 27 MHz, 및 2 MHz 주파수의 조합에서, 50 내지 5000 W 의 범위에 이를 수 있는 전력으로 제공된다. 200 mm 또는 300 mm 웨이퍼를 프로세싱하기 위한 용량성-결합 플라즈마 에칭 반응기에 있어서, 챔버 압력은 예를 들어, 70-90 mTorr 일 수 있다. 바람직하게, 제 1 가스 혼합물이 챔버 안으로 유입되는 각각의 시간 동안 (제 2 가스는 바이-패스 라인으로 전환되는 동안) 제 1 가스 혼합물은 약 5 초 내지 약 20 초 동안 플라즈마 프로세싱 챔버 안으로 흐르고, 바람직하게, 제 2 가스 혼합물이 챔버 안으로 유입되는 각각의 시간 동안 (제 1 가스는 바이-패스 라인으로 전환되는 동안) 제 2 가스 혼합물은 약 1 초 내지 약 3 초 동안 플라즈마 프로세싱 챔버 안으로 흐른다. 기판 상의 SiO2 의 에칭 동안, 에칭 주기 및/또는 폴리머 증착 주기의 길이는 바람직한 기간 내에 증가 또는 감소될 수 있다. 바람직하게, 폴리머 증착은 통상적으 로 약 3 분까지 지속되는 에칭 프로세스 동안 약 100 옹스트롬보다 작은 최대 두께에 도달한다. 에칭 동안, 폴리머는 마스크 상에 증착되어 줄무늬를 보수하고 마스크 보호를 제공할 수 있다. 따라서, 바람직하게 마스크 내의 개구의 형상은 에칭 프로세스 동안 유지될 수 있다.
제 1, 제 2, 및 제 3 기계적 매치 박스 (106, 110, 114) 는 제 1, 제 2, 및 제 3 주파수 튜닝된 RF 전원 (104, 108, 112) 과 플라즈마 프로세싱 챔버 (12) 내의 부하 사이에 그로스 (gross) 임피던스 매칭을 제공하는데 이용된다. 제 1, 제 2, 및 제 3 기계적 매치 박스 (106, 110, 114) 는 빠르게 변경된 레시피에 의해 야기된 빠른 변경 임피던스 부하를 정확하게 매칭시킬 수 없다. 그러므로, 본 발명은 제 1, 제 2, 및 제 3 주파수 튜닝된 RF 전원 (104, 108, 112) 에 의해 제공된 주파수 튜닝을 이용하여, 제 1, 제 2, 및 제 3 주파수 튜닝된 RF 전원 (104, 108, 112) 의 임피던스와 제 1, 제 2, 및 제 3 기계적 매치 박스 (106, 110, 114) 및 부하의 빠르게 변하는 임피던스를 빠르고 정확하게 매칭시킨다.
플라즈마 상태는 증착과 성형 (에칭) 사이에서 매우 고속으로 스위칭되야 하기 때문에, 함께 동작해야 하는 몇몇 하드웨어 특징들이 존재한다. 가스 체적은 작아서 프로세싱 챔버 내의 가스 전이 시간을 감소시켜야 한다. 이는, 한정 링을 이용하여 가능한 작은 플라즈마 체적을 만듦으로써 달성된다. 또한, RF 발생기는 빠르게 변하는 플라즈마 상태를 빠르게 튜닝할 수 있어야 한다. 이는, 종래의 기계적 매칭 유닛 보다는 전자적으로 주파수 튜닝된 발생기를 이용함으로써 달성된다. 최선의 임계 치수 제어 (CD) 및 균일도 제어를 위해, 주요 가 스는 분할되고 에지 가스 흐름에 대한 중심 가스 흐름의 비는 선택 가능하다. 최종적으로, 튜닝 가스는, 주요 가스와 동일하거나 상이할 수 있는 튜닝 가스가 필요하고, 이 튜닝 가스는 웨이퍼의 에지 또는 중심으로 선택가능한 흐름으로 공급될수 있다. 따라서, 전술된 하드웨어 전체의 조합이 본 문헌에서 전개된 적용된 프로세스에 대해 소망되는 모든 수행을 구성한다.
본 발명은 몇몇 바람직한 실시형태의 관점에서 설명되었으나, 본 발명의 범위를 벗어난 변경, 치환 및 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 대안의 방식들이 존재하는 것이 주목된다. 그러므로, 이하의 첨부된 청구항들은 본 발명의 사상 및 범위 내에 있는 모든 이러한 변경, 치환, 및 대체의 등가물을 포함하는 것으로 해석되는 것으로 의도된다.

Claims (31)

  1. 체적을 갖는 플라즈마 한정 구역 및 적어도 하나의 전극을 갖는 플라즈마 챔버;
    제 1 가스 및 제 2 가스를 제공하기 위한 가스 분배 시스템으로서, 상기 가스 분배 시스템은, 상기 플라즈마 한정 구역 내의 상기 제 1 가스 및 상기 제 2 가스 중 일 가스를 1 s 미만의 기간 내에 상기 제 1 가스 및 상기 제 2 가스 중 다른 가스로 실질적으로 대체할 수 있고, 상기 제 1 가스로부터 상기 플라즈마 한정 구역 내에 형성된 제 1 플라즈마가 제 1 임피던스 부하를 제공하며, 상기 제 2 가스로부터 상기 플라즈마 한정 구역 내에 형성된 제 2 플라즈마가 상기 제 1 임피던스 부하와 상이한 제 2 임피던스 부하를 제공하는, 상기 가스 분배 시스템;
    상기 적어도 하나의 전극에 제 1 주파수 범위 내에서 전력을 제공하기 위한 제 1 주파수 튜닝된 RF 전원으로서, 상기 제 1 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 상기 반사된 RF 전력을 최소화할 수 있는, 상기 제 1 주파수 튜닝된 RF 전원; 및
    상기 플라즈마 챔버에 상기 제 1 주파수 범위 외의 제 2 주파수 범위 내에서 전력을 제공하기 위한 제 2 주파수 튜닝된 RF 전원으로서, 상기 제 2 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 상기 반사된 RF 전력을 최소화할 수 있는, 상기 제 2 주파수 튜닝된 RF 전원을 포함하는, 플라즈마 웨이퍼 프로세싱 도구.
  2. 제 1 항에 있어서,
    상기 제 1 주파수 튜닝된 RF 전원은, 상기 제 1 임피던스 부하를 임피던스 매칭하기 위한 제 1 주파수, 및 상기 제 2 임피던스 부하를 임피던스 매칭하기 위한 제 2 주파수를 제공할 수 있고, 상기 제 1 주파수는 상기 제 2 주파수와 상이한, 플라즈마 웨이퍼 프로세싱 도구.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 2 주파수 튜닝된 RF 전원은, 상기 제 1 임피던스 부하를 임피던스 매칭하기 위한 제 3 주파수, 및 상기 제 2 임피던스 부하를 임피던스 매칭하기 위한 제 4 주파수를 제공할 수 있고, 상기 제 4 주파수는 상기 제 1 주파수, 상기 제 2 주파수, 및 상기 제 3 주파수와 상이한, 플라즈마 웨이퍼 프로세싱 도구.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 가스 분배 시스템은, 상기 플라즈마 한정 구역 내의 상기 제 1 가스 또는 상기 제 2 가스를 200 ms 미만의 기간 내에 상기 제 1 가스 또는 상기 제 2 가스 중 다른 가스로 실질적으로 대체할 수 있는, 플라즈마 웨이퍼 프로세싱 도구.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 플라즈마 챔버는,
    상기 플라즈마 챔버 내에서 웨이퍼를 지지하는 기판 지지부; 및
    상기 기판 지지부로부터 3 cm 미만 이격된 챔버 상부를 더 포함하는, 플라즈마 웨이퍼 프로세싱 도구.
  6. 제 5 항에 있어서,
    상기 챔버 상부와 상기 기판 지지부 사이에 이격된 한정 링들을 더 포함하는, 플라즈마 웨이퍼 프로세싱 도구.
  7. 제 6 항에 있어서,
    상기 한정 링들, 상기 기판 지지부, 및 상기 챔버 상부의 내부들은 상기 플라즈마 한정 구역을 정의하는, 플라즈마 웨이퍼 프로세싱 도구.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 1 주파수 튜닝된 RF 전원 및 상기 제 2 주파수 튜닝된 RF 전원은 상기 출력 RF 주파수를 1 MHz 미만의 범위에 걸쳐 튜닝하는, 플라즈마 웨이퍼 프로세싱 도구.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 가스 분배 시스템은,
    제 1 가스 라인과 유체 소통하도록 구성된 제 1 가스 통로 및 제 2 가스 통 로;
    제 2 가스 라인과 유체 소통하도록 구성된 제 3 가스 통로 및 제 4 가스 통로;
    상기 제 1 가스 통로를 따라 배열된 제 1 고속 스위칭 밸브;
    상기 제 2 가스 통로를 따라 배열된 제 2 고속 스위칭 밸브;
    상기 제 3 가스 통로를 따라 배열된 제 3 고속 스위칭 밸브; 및
    상기 제 4 가스 통로를 따라 배열된 제 4 고속 스위칭 밸브를 포함하며,
    상기 제 1 가스 통로 및 상기 제 3 가스 통로는 상기 진공 챔버로 가스를 공급하도록 구성되고, 상기 제 2 가스 통로 및 상기 제 4 가스 통로는 바이-패스 (by-pass) 라인으로 가스를 공급하도록 구성되고,
    상기 제 1 고속 스위칭 밸브 및 상기 제 4 고속 스위칭 밸브는 상기 제 2 고속 스위칭 밸브 및 상기 제 3 고속 스위칭 밸브가 폐쇄되는 동안 개방하라는 신호를 수신하도록 구성되어, 상기 제 2 가스가 상기 제 2 가스 라인 및 상기 제 2 가스 통로와 상기 제 4 가스 통로를 통해 상기 바이-패스 라인으로 공급되는 동안 상기 제 1 가스는 상기 제 1 가스 라인 및 상기 제 1 가스 통로와 상기 제 3 가스 통로를 통해 상기 진공 챔버로 공급되도록 하며,
    상기 제 2 고속 스위칭 밸브 및 상기 제 3 고속 스위칭 밸브는 상기 제 1 고속 스위칭 밸브 및 상기 제 4 고속 스위칭 밸브가 폐쇄되는 동안 개방하라는 신호를 수신하도록 구성되어, 상기 제 1 가스가 상기 제 1 가스 라인 및 상기 제 2 가스 통로를 통해 상기 바이-패스 라인으로 공급되는 동안 상기 제 2 가스는 상기 제 2 가스 라인 및 상기 제 3 가스 통로를 통해 상기 진공 챔버로 공급되도록 하는, 플라즈마 웨이퍼 프로세싱 도구.
  10. 제 9 항에 있어서,
    상기 가스 분배 시스템은,
    상기 제 1 고속 스위칭 밸브 및 상기 제 2 고속 스위칭 밸브의 상류의 상기 제 1 가스 라인을 따라 배열되도록 구성된 제 1 흐름 제한기; 및
    상기 제 3 고속 스위칭 밸브 및 상기 제 4 고속 스위칭 밸브의 상류의 상기 제 2 가스 라인을 따라 배열되도록 구성된 제 2 흐름 제한기를 더 포함하고,
    상기 제 1 흐름 제한기 및 상기 제 2 흐름 제한기는, 상기 제 1 흐름 제한기 및 상기 제 2 흐름 제한기의 상류 및 가까이에 있는 상기 제 1 가스 라인 및 상기 제 2 가스 라인의 영역 내에 대략 일정한 가스 압력을 유지하도록 구성되는, 플라즈마 웨이퍼 프로세싱 도구.
  11. 제 10 항에 있어서,
    상기 제 1 가스 라인은 상기 제 1 흐름 제한기와 상기 제 1 고속 스위칭 밸브 및 상기 제 2 고속 스위칭 밸브 사이에 약 10 cm3 미만의 체적을 갖고,
    상기 제 2 가스 라인은 상기 제 2 흐름 제한기와 상기 제 3 고속 스위칭 밸브 및 상기 제 4 고속 스위칭 밸브 사이에 약 10 cm3 미만의 체적을 갖는, 플라즈마 웨이퍼 프로세싱 도구.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 가스 분배 시스템은,
    상기 제 1 고속 스위칭 밸브의 하류에 상기 제 1 가스 통로를 따라 배열되도록 구성된 제 3 흐름 제한기;
    상기 제 2 고속 스위칭 밸브의 하류에 상기 제 2 가스 통로를 따라 배열되도록 구성된 제 4 흐름 제한기;
    상기 제 3 고속 스위칭 밸브의 하류에 상기 제 3 가스 통로를 따라 배열되도록 구성된 제 5 흐름 제한기; 및
    상기 제 4 고속 스위칭 밸브의 하류에 상기 제 4 가스 통로를 따라 배열되도록 구성된 제 6 흐름 제한기를 더 포함하며,
    상기 제 3 흐름 제한기, 상기 제 4 흐름 제한기, 상기 제 5 흐름 제한기 및 상기 제 6 흐름 제한기는 각각의 상기 제 1 흐름 제한기, 상기 제 2 흐름 제한기, 상기 제 3 흐름 제한기, 상기 제 4 흐름 제한기, 상기 제 5 흐름 제한기 및 상기 제 6 흐름 제한기의 상류 및 가까이의 상기 제 1 가스 통로, 상기 제 2 가스 통로, 상기 제 3 가스 통로 및 상기 제 4 가스 통로의 영역 내에 대략 일정한 가스 압력을 유지하도록 구성되는, 플라즈마 웨이퍼 프로세싱 도구.
  13. 제 9 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 제 1 고속 스위칭 밸브, 상기 제 2 고속 스위칭 밸브, 상기 제 3 고속 스위칭 밸브 및 상기 제 4 고속 스위칭 밸브의 개폐를 제어하도록 동작 가능한 제어기를 더 포함하는, 플라즈마 웨이퍼 프로세싱 도구.
  14. 제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 제 1 고속 스위칭 밸브, 상기 제 2 고속 스위칭 밸브, 상기 제 3 고속 스위칭 밸브 및 상기 제 4 고속 스위칭 밸브는, 신호를 수신한 후 약 100 ms 미만의 기간 내에 개방 및/또는 폐쇄될 수 있는, 플라즈마 웨이퍼 프로세싱 도구.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    서로 흐름이 격리되는 내측 구역 및 외측 구역을 갖는 가스 분배 부재를 더 포함하는, 플라즈마 웨이퍼 프로세싱 도구.
  16. 제 15 항에 있어서,
    상기 가스 분배 시스템은,
    상기 제 1 가스 및 상기 제 2 가스를 제공하는 가스 공급 시스템;
    상기 가스 공급 시스템과 유체 소통하는 흐름 제어 시스템으로서, 상기 제 1 가스의 흐름을 상기 제 1 가스의 내측 구역 흐름 및 상기 제 1 가스의 외측 구역 흐름으로 분할하고, 상기 제 2 가스의 흐름을 상기 제 2 가스의 내측 구역 흐름 및 상기 제 2 가스의 외측 구역 흐름으로 분할하는, 상기 흐름 제어 시스템; 및
    상기 흐름 제어 시스템과 상기 가스 분배 부재의 상기 내측 구역 및 상기 외측 구역 사이에 유체 접속하고 있는 스위칭부로서, 상기 스위칭부는, 상기 가스 분배 부재의 상기 내측 구역으로의 흐름을 상기 제 1 가스의 상기 내측 구역 흐름과 상기 제 2 가스의 상기 내측 구역 흐름 간에 스위칭하고, 상기 가스 분배 부재의 상기 외측 구역으로의 흐름을 상기 제 1 가스의 상기 외측 구역 흐름과 상기 제 2 가스의 상기 외측 구역 흐름 간에 스위칭하는, 상기 스위칭부를 포함하는, 플라즈마 웨이퍼 프로세싱 도구.
  17. 제 16 항에 있어서,
    바이-패스 라인을 더 포함하고,
    상기 스위칭부는 또한, 상기 제 1 가스의 상기 내측 구역 흐름, 상기 제 2 가스의 내측 구역 흐름, 상기 제 1 가스의 상기 외측 구역 흐름, 및 상기 제 2 가스의 상기 외측 구역 흐름을 상기 바이-패스 라인으로 스위칭하는, 플라즈마 웨이퍼 프로세싱 도구.
  18. 제 17 항에 있어서,
    상기 흐름 제어 시스템은,
    상기 제 1 가스의 흐름이 상기 제 1 가스의 상기 내측 구역 흐름 및 상기 제 1 가스의 상기 외측 구역 흐름으로 분할된 후에, 상기 제 1 가스의 내측 구역 흐름 또는 상기 제 1 가스의 외측 구역 흐름 중 적어도 하나의 흐름과 유체 접속하는 튜 닝 가스 소스를 더 포함하는, 플라즈마 웨이퍼 프로세싱 도구.
  19. 플라즈마 프로세싱 장치로서,
    약 1/2 리터 내지 4 리터의 내부 체적 및 내측 구역과 외측 구역을 갖는 샤워헤드 전극 어셈블리를 포함하는 플라즈마 프로세싱 챔버;
    상기 샤워헤드 전극 어셈블리의 상기 내측 구역 및 상기 외측 구역과 유체 소통하는 가스 분배 시스템;
    제 1 주파수 범위 내에서 상기 플라즈마 프로세싱 장치에 전력을 제공하기 위한 제 1 주파수 튜닝된 RF 전원으로서, 상기 제 1 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 상기 반사된 RF 전력을 최소화할 수 있는, 상기 제 1 주파수 튜닝된 RF 전원; 및
    상기 제 1 주파수 범위 외의 제 2 주파수 범위에서 상기 플라즈마 프로세싱 장치에 전력을 공급하기 위한 제 2 주파수 튜닝된 RF 전원으로서, 상기 제 2 주파수 튜닝된 RF 전원은 반사된 RF 전력을 수신하고 출력 RF 주파수를 튜닝하여 상기 반사된 RF 전력을 최소화할 수 있는, 상기 제 2 주파수 튜닝된 RF 전원을 포함하며,
    상기 가스 분배 시스템은,
    플라즈마 한정 구역 내의 제 1 프로세스 가스 또는 제 2 프로세스 가스를 약 1 s 미만의 기간 내에 상기 제 1 프로세스 가스 또는 상기 제 2 프로세스 가스 중 다른 가스로 실질적으로 대체하도록 동작 가능하고,
    상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스를 제공하는 가스 공급 시스템;
    상기 가스 공급 시스템과 유체 소통하는 흐름 제어 시스템으로서, 상기 제 1 프로세스 가스의 흐름을 상기 제 1 프로세스 가스의 내측 구역 흐름 및 상기 제 1 프로세스 가스의 외측 구역 흐름으로 분할하고, 상기 제 2 프로세스 가스의 흐름을 상기 제 2 프로세스 가스의 내측 구역 흐름 및 상기 제 2 프로세스 가스의 외측 구역 흐름으로 분할하는, 상기 흐름 제어 시스템; 및
    상기 흐름 제어 시스템과 가스 분배 부재의 내측 구역과 외측 구역 사이에 유체 접속하고 있는 스위칭부로서, 상기 스위칭부는, 상기 가스 분배 부재의 상기 내측 구역으로의 흐름을 상기 제 1 프로세스 가스의 상기 내측 구역 흐름과 상기 제 2 프로세스 가스의 상기 내측 구역 흐름 간에 스위칭하고, 상기 가스 분배 부재의 상기 외측 구역으로의 흐름을 상기 제 1 프로세스 가스의 상기 외측 구역 흐름과 상기 제 2 프로세스 가스의 상기 외측 구역 흐름 간에 스위칭하는, 상기 스위칭부를 포함하는, 플라즈마 프로세싱 장치.
  20. 제 19 항에 있어서,
    바이-패스 라인을 더 포함하고,
    상기 스위칭부는 또한 상기 제 1 프로세스 가스의 상기 내측 구역 흐름, 상기 제 2 프로세스 가스의 상기 내측 구역 흐름, 상기 제 1 프로세스 가스의 상기 외측 구역 흐름, 및 상기 제 2 프로세스 가스의 상기 외측 구역 흐름을 상기 바이- 패스 라인으로 스위칭하는, 플라즈마 프로세싱 장치.
  21. 제 19 항 또는 제 20 항에 있어서,
    상기 흐름 제어 시스템은,
    상기 제 1 프로세스 가스의 흐름이 상기 제 1 프로세스 가스의 상기 내측 구역 흐름 및 상기 제 1 프로세스 가스의 상기 외측 구역 흐름으로 분할된 후에, 상기 제 1 프로세스 가스의 내측 구역 흐름 또는 상기 제 1 프로세스 가스의 외측 구역 흐름 중 적어도 하나의 흐름과 유체 접속하는 튜닝 가스 소스를 더 포함하는, 플라즈마 프로세싱 장치.
  22. 제 19 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 제 1 주파수 튜닝된 RF 전원은, 상기 제 1 프로세스 가스로부터 형성된 플라즈마의 제 1 임피던스 부하를 임피던스 매칭하기 위한 제 1 주파수, 및 상기 제 2 플라즈마 가스로부터 형성된 플라즈마의 제 2 임피던스 부하를 임피던스 매칭하기 위한 제 2 주파수를 제공할 수 있고, 상기 제 1 주파수는 상기 제 2 주파수와 상이하며,
    상기 제 2 주파수 튜닝된 RF 전원은, 상기 제 1 임피던스 부하를 임피던스 매칭하기 위한 제 3 주파수, 및 상기 제 2 임피던스 부하를 임피던스 매칭하기 위한 제 4 주파수를 제공할 수 있고, 상기 제 4 주파수는 상기 제 1 주파수, 상기 제 2 주파수, 및 상기 제 3 주파수와 상이한, 플라즈마 프로세싱 장치.
  23. 플라즈마 프로세싱 챔버 내에서 반도체 구조물을 프로세싱하는 방법으로서,
    a) 제 1 프로세스 가스를 플라즈마 프로세싱 챔버 안으로 공급하는 동안 제 2 프로세스 가스를 바이패스-라인으로 전환하는 단계로서, 상기 플라즈마 프로세싱 챔버는 적어도 하나의 층 및 상기 층 위에 놓인 패터닝된 레지스트 마스크를 포함하는 반도체 기판을 포함하는, 상기 제 1 프로세스 가스를 플라즈마 프로세싱 챔버 안으로 공급하는 동안 제 2 프로세스 가스를 바이패스-라인으로 전환하는 단계;
    b) 상기 제 1 프로세스 가스를 에너자이징 (energizing) 하여 제 1 임피던스 부하를 갖는 제 1 플라즈마를 생성하고, (i) 상기 층 내에 적어도 하나의 피처 (feature) 를 에칭하거나 (ii) 상기 패터닝된 레지스트 마스크 상에 폴리머 증착물을 형성하는 단계;
    c) 상기 제 1 임피던스 부하를 매칭하기 위해 제 1 RF 전원을 제 1 주파수로 주파수 튜닝하는 단계;
    d) 상기 제 1 임피던스 부하를 매칭하기 위해 제 2 RF 전원을 상기 제 1 주파수와 상이한 제 2 주파수로 주파수 튜닝하는 단계;
    e) 상기 제 1 프로세스 가스를 상기 바이-패스 라인으로 전환하는 동안 상기 제 2 프로세스 가스는 상기 플라즈마 프로세싱 챔버 안으로 공급되도록, 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스의 흐름을 스위칭하는 단계로서, 상기 제 1 프로세스 가스는 약 1 s 미만의 기간 내에 상기 제 2 프로세스 가스에 의해 상기 플라즈마 프로세싱 챔버의 플라즈마 한정 구역 내에서 실질적으로 대체되는, 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스의 흐름을 스위칭하는 단계;
    f) 상기 제 2 프로세스 가스를 에너자이징하여 상기 제 1 임피던스 부하와는 상이한 제 2 임피던스 부하를 갖는 제 2 플라즈마를 생성하고, (iii) 상기 층 내에 상기 적어도 하나의 피처를 에칭하거나 (iv) 상기 층 및 상기 패터닝된 레지스트 마스크 상에 폴리머 증착물을 형성하는 단계;
    g) 상기 제 2 임피던스 부하를 매칭하기 위해, 상기 제 1 RF 전원을 상기 제 1 주파수 및 상기 제 2 주파수와 상이한 제 3 주파수로 주파수 튜닝하는 단계;
    h) 상기 제 2 임피던스 부하를 매칭하기 위해, 상기 제 2 RF 전원을 상기 제 1 주파수, 상기 제 2 주파수, 및 상기 제 3 주파수와 상이한 제 4 주파수로 주파수 튜닝하는 단계;
    i) 상기 제 1 프로세스 가스가 상기 플라즈마 프로세싱 챔버 안으로 공급되는 동안 상기 제 2 프로세스 가스를 상기 바이-패스 라인으로 전환하도록, 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스의 흐름을 스위칭하는 단계로서, 상기 제 2 프로세스 가스는 약 1 s 미만의 기간 내에 상기 제 1 프로세스 가스에 의해 상기 플라즈마 프로세싱 챔버의 상기 플라즈마 한정 구역 내에서 실질적으로 대체되는, 상기 제 1 프로세스 가스 및 상기 제 2 프로세스 가스의 흐름을 스위칭하는 단계; 및
    j) 상기 반도체 기판에 대해 단계 b) 내지 단계 i) 를 복수 회 반복하는 단계를 포함하는, 반도체 구조물을 프로세싱하는 방법.
  24. 제 23 항에 있어서,
    상기 약 1 s 미만의 기간은 200 ms 미만인, 반도체 구조물을 프로세싱하는 방법.
  25. 제 23 항 또는 제 24 항에 있어서,
    상기 폴리머 증착물은, 상기 반도체 기판에 대해 단계 a) 내지 단계 i) 를 복수 회 반복한 후에 최대 약 100 옹스트롬 미만의 두께로 형성되는, 반도체 구조물을 프로세싱하는 방법.
  26. 제 23 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 제 1 프로세스 가스의 흐름을 내측 구역 흐름 및 외측 구역 흐름으로 분할하는 단계를 더 포함하고,
    상기 제 1 프로세스 가스를 플라즈마 프로세싱 챔버 안으로 공급하는 동안 제 2 프로세스 가스를 바이-패스 라인으로 전환하는 단계는, 상기 프로세싱 챔버의 내측 구역으로 상기 내측 구역 흐름을 제공하고 상기 프로세싱 챔버의 외측 구역으로 상기 외측 구역 흐름을 제공하는, 반도체 구조물을 프로세싱하는 방법.
  27. 제 26 항에 있어서,
    상기 제 1 프로세스 가스의 상기 내측 구역 흐름 및 상기 제 1 프로세스 가스의 상기 외측 구역 흐름 중 적어도 하나의 흐름으로 튜닝 가스를 제공하는 단계 를 더 포함하고, 상기 튜닝 가스는 상기 제 1 프로세스 가스의 흐름을 분할하는 단계 후에 제공되는, 반도체 구조물을 프로세싱하는 방법.
  28. 제 23 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 제 1 플라즈마는 상기 층 내에서 상기 적어도 하나의 피처를 에칭하고, 상기 제 2 플라즈마는 상기 층 및 상기 패터닝된 레지스트 마스크 상에 상기 증착물을 형성하며, 상기 증착물은 상기 패터닝된 레지스트 마스크 내의 줄무늬 (striation) 를 보수 (repairing) 하는, 반도체 구조물을 프로세싱하는 방법.
  29. 제 23 항 내지 제 28 항 중 어느 한 항에 있어서,
    상기 플라즈마 한정 구역은 약 1/2 리터 내지 약 4 리터의 체적을 갖는, 반도체 구조물을 프로세싱하는 방법.
  30. 제 23 항 내지 제 29 항 중 어느 한 항에 있어서,
    상기 층은 SiO2 로 이루어지고;
    상기 패터닝된 레지스트 마스크는 UV-레지스트 마스크이고;
    상기 제 1 프로세스 가스는 C4F8, O2 및 아르곤의 혼합물을 포함하고, 상기 제 1 플라즈마는 상기 층을 에칭하며;
    상기 제 2 프로세스 가스는 CH3F, 아르곤, 및 선택적으로 O2 의 혼합물을 포 함하고, 상기 제 2 플라즈마는 상기 피처 및 상기 패터닝된 레지스트 마스크 상에 상기 폴리머 증착물을 형성하는, 반도체 구조물을 프로세싱하는 방법.
  31. 제 23 항 내지 제 30 항 중 어느 한 항에 있어서,
    상기 제 1 임피던스 부하를 매칭하기 위해 상기 제 1 RF 전원을 제 1 주파수로 주파수 튜닝하는 단계 및 상기 제 2 임피던스 부하를 매칭하기 위해 상기 제 1 RF 전원을 제 3 주파수로 주파수 튜닝하는 단계는, 매치박스를 이용하여 상기 제 1 임피던스 부하 및 상기 제 3 임피던스 부하를 부분적으로 매칭하고, 주파수 튜닝을 이용하여 상기 제 1 임피던스 부하 및 상기 제 2 임피던스 부하의 최종 매칭을 제공하는, 반도체 구조물을 프로세싱하는 방법.
KR1020097012509A 2006-11-17 2007-11-12 고속 가스 스위칭 플라즈마 프로세싱 장치 KR101432850B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/601,293 2006-11-17
US11/601,293 US20070066038A1 (en) 2004-04-30 2006-11-17 Fast gas switching plasma processing apparatus
PCT/US2007/084465 WO2008061069A1 (en) 2006-11-17 2007-11-12 Fast gas switching plasma processing apparatus

Publications (2)

Publication Number Publication Date
KR20090082493A true KR20090082493A (ko) 2009-07-30
KR101432850B1 KR101432850B1 (ko) 2014-09-23

Family

ID=39402006

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097012509A KR101432850B1 (ko) 2006-11-17 2007-11-12 고속 가스 스위칭 플라즈마 프로세싱 장치

Country Status (6)

Country Link
US (2) US20070066038A1 (ko)
JP (1) JP5014435B2 (ko)
KR (1) KR101432850B1 (ko)
CN (1) CN101563757B (ko)
TW (1) TWI417945B (ko)
WO (1) WO2008061069A1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160056257A (ko) * 2014-11-11 2016-05-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20170110035A (ko) * 2016-03-22 2017-10-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20170110036A (ko) * 2016-03-22 2017-10-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20170110039A (ko) * 2016-03-22 2017-10-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR101841315B1 (ko) * 2010-11-03 2018-03-22 램 리써치 코포레이션 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭
KR20220069897A (ko) * 2016-06-30 2022-05-27 램 리써치 코포레이션 갭 충진에서 증착 및 에칭을 위한 장치 및 방법
US11387110B2 (en) 2019-06-20 2022-07-12 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
EP1774562B1 (en) * 2004-06-08 2012-02-22 Dichroic cell s.r.l. System for low-energy plasma-enhanced chemical vapor deposition
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8312839B2 (en) * 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8969838B2 (en) * 2009-04-09 2015-03-03 Asml Netherlands B.V. Systems and methods for protecting an EUV light source chamber from high pressure source material leaks
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
JP5563860B2 (ja) * 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
CN103003924B (zh) * 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
JP5937385B2 (ja) * 2012-03-16 2016-06-22 東京エレクトロン株式会社 半導体製造装置のガス供給方法、ガス供給システム及び半導体製造装置
CN102693893B (zh) * 2012-04-28 2015-01-14 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI541868B (zh) 2013-04-04 2016-07-11 東京威力科創股份有限公司 脈衝氣體電漿摻雜方法及設備
CN104150431A (zh) * 2013-05-14 2014-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 进气系统及基片处理设备
CN104743503B (zh) * 2013-12-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀工艺匹配方法、系统和设备
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
KR20160012302A (ko) 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6316735B2 (ja) * 2014-12-04 2018-04-25 東京エレクトロン株式会社 プラズマエッチング方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6541406B2 (ja) * 2015-04-21 2019-07-10 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR101924689B1 (ko) * 2016-07-15 2019-02-28 연세대학교 산학협력단 이차원 나노 물질의 처리 장치 및 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11661654B2 (en) * 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
KR20220024017A (ko) * 2019-05-15 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 시스템을 위한 동적 멀티 존 유동 제어
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112095088B (zh) * 2020-07-29 2023-05-16 苏州迈正科技有限公司 一种快速切换镀膜工艺气体的方法及设备
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6345589B1 (en) * 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
AU3145197A (en) * 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
GB9709659D0 (en) * 1997-05-13 1997-07-02 Surface Tech Sys Ltd Method and apparatus for etching a workpiece
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6337102B1 (en) * 1997-11-17 2002-01-08 The Trustees Of Princeton University Low pressure vapor phase deposition of organic thin films
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
GB9904925D0 (en) * 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
JP4120051B2 (ja) * 1998-07-31 2008-07-16 株式会社日立国際電気 高周波共振装置
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000195846A (ja) * 1998-12-25 2000-07-14 Fujitsu Ltd ドライエッチング方法およびドライエッチング装置
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
CN1241316C (zh) * 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP2001257198A (ja) * 2000-03-13 2001-09-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP3736322B2 (ja) 2000-04-26 2006-01-18 昭和電工株式会社 気相成長装置
KR20010108968A (ko) * 2000-06-01 2001-12-08 황 철 주 플라즈마 공정장치
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
JP2002081305A (ja) 2000-07-04 2002-03-22 Honda Motor Co Ltd 2サイクル内燃機関の潤滑方法
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6620733B2 (en) * 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
FR2842387B1 (fr) 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20040027209A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Fixed matching network with increased match range capabilities
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7296532B2 (en) * 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
JP2005252057A (ja) * 2004-03-05 2005-09-15 Sumitomo Precision Prod Co Ltd エッチング装置
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101841315B1 (ko) * 2010-11-03 2018-03-22 램 리써치 코포레이션 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭
KR20160056257A (ko) * 2014-11-11 2016-05-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리 장치 및 플라즈마 처리 방법
US10699909B2 (en) 2014-11-11 2020-06-30 Hitach High-Tech Corporation Plasma processing apparatus and plasma processing method
KR20170110035A (ko) * 2016-03-22 2017-10-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20170110036A (ko) * 2016-03-22 2017-10-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20170110039A (ko) * 2016-03-22 2017-10-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20220069897A (ko) * 2016-06-30 2022-05-27 램 리써치 코포레이션 갭 충진에서 증착 및 에칭을 위한 장치 및 방법
US11387110B2 (en) 2019-06-20 2022-07-12 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
KR101432850B1 (ko) 2014-09-23
JP5014435B2 (ja) 2012-08-29
CN101563757A (zh) 2009-10-21
JP2010510669A (ja) 2010-04-02
TWI417945B (zh) 2013-12-01
US20070066038A1 (en) 2007-03-22
TW200837809A (en) 2008-09-16
US8343876B2 (en) 2013-01-01
WO2008061069A1 (en) 2008-05-22
CN101563757B (zh) 2011-07-13
US20110281435A1 (en) 2011-11-17

Similar Documents

Publication Publication Date Title
KR101432850B1 (ko) 고속 가스 스위칭 플라즈마 프로세싱 장치
KR101155839B1 (ko) 고속 가스 스위칭 능력을 가진 가스 분배 시스템
US8772171B2 (en) Gas switching section including valves having different flow coefficients for gas distribution system
KR102122113B1 (ko) 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치
US7282454B2 (en) Switched uniformity control
US20040112540A1 (en) Uniform etch system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170731

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180731

Year of fee payment: 5