KR101841315B1 - 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭 - Google Patents

플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭 Download PDF

Info

Publication number
KR101841315B1
KR101841315B1 KR1020137011452A KR20137011452A KR101841315B1 KR 101841315 B1 KR101841315 B1 KR 101841315B1 KR 1020137011452 A KR1020137011452 A KR 1020137011452A KR 20137011452 A KR20137011452 A KR 20137011452A KR 101841315 B1 KR101841315 B1 KR 101841315B1
Authority
KR
South Korea
Prior art keywords
gas
plenum
etching
plasma
deposition
Prior art date
Application number
KR1020137011452A
Other languages
English (en)
Other versions
KR20130138252A (ko
Inventor
테오 파나고풀로스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130138252A publication Critical patent/KR20130138252A/ko
Application granted granted Critical
Publication of KR101841315B1 publication Critical patent/KR101841315B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유도 결합 플라즈마 프로세싱 장치는 프로세싱 챔버, 기판 지지체, 유전체 윈도우, 플라즈마를 발생시키고 유지하도록 동작가능한 안테나, 및 유전체 윈도우에 인접한 유전체 재료의 샤워헤드 플레이트를 포함한다. 샤워헤드 플레이트는, 유전체 윈도우 아래의 플리넘과 유체로 연통하는 가스 홀들을 포함하고, 이 플리넘은 500 ㎤ 이하의 가스 볼륨을 갖는다. 가스 홀들은 샤워헤드 플레이트의 플라즈마 노출면과 플리넘 사이에서 연장되고, 이 가스 홀들은 적어도 2 의 종횡비를 갖는다. 가스 전달 시스템은 반도체 기판이 기판 지지체 상에 지지되는 동안 에칭 가스와 성막 가스를 샤워헤드 플레이트를 통해 프로세싱 챔버 내에 공급하도록 동작가능하다. 이 플라즈마 프로세싱 장치는 플리넘 내의 에칭 가스를 성막 가스로 그리고 그 반대로 약 200 밀리초 이내에 급속하게 대체시킬 수 있다. 이 플라즈마 프로세싱 장치는 반도체 기판 상의 실리콘을 10 ㎛/분 이상의 속도로 에칭하도록 동작가능하다.

Description

플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭{RAPID AND UNIFORM GAS SWITCHING FOR A PLASMA ETCH PROCESS}
보쉬 프로세스 (Bosch process) 는, 반도체 산업에서 (이를테면, 수십 내지 수백 마이크로미터의 깊이를 갖는) 깊은 수직 (고 종횡비 (aspect ratio)) 의 피처들 (features), 이를테면, 트렌치들 및 비아들을 제작하는데 광범위하게 사용되고 있는 플라즈마 에칭 프로세스이다. 보쉬 프로세스는 에칭 단계들과 성막 단계들 (deposition steps) 을 교번하는 사이클들을 포함한다. 보쉬 프로세스의 상세들은 미국 특허 제5,501,893호에서 찾을 수 있고, 이 미국 특허는 여기에 참조로 포함되어 있다. 보쉬 프로세스는 무선 주파수 (RF) 바이어싱 기판 전극과 함께, 유도 결합 플라즈마 (ICP) 소스와 같은 고밀도 플라즈마 소스로 구성된 플라즈마 프로세싱 장치에서 실행될 수 있다. 실리콘을 에칭하기 위해 보쉬 프로세스에 사용된 프로세스 가스들은, 에칭 단계에서는 육불화황 (SF6) 일 수 있고, 성막 단계에서는 옥토플루오로시클로부탄 (C4F8) 일 수 있다. 에칭 단계에서 사용된 프로세스 가스 및 성막 단계에서 사용된 프로세스 가스 각각은 이하 "에칭 가스" 및 "성막 가스" 라고 지칭된다. 에칭 단계 동안, SF6 은 실리콘 (Si) 의 자발적 및 등방성 에칭을 용이하게 한다; 성막 단계 동안, C4F8 은 에칭된 구조물들의 바닥들 뿐만 아니라 측벽들 상에 보호 폴리머 층의 성막을 용이하게 한다. 보쉬 프로세스는 에칭 단계와 성막 단계 사이를 순환적으로 교번하여, 깊은 구조물들이 마스킹된 실리콘 기판 내에 규정될 수 있게 한다. 에칭 단계들에 존재하는 활성적이고 직접적인 이온 충격시, 이전 성막 단계로부터 에칭된 구조물들의 바닥들에 코팅된 임의의 폴리머 필름이 제거되어 추가 에칭을 위한 실리콘 표면을 노출시킬 것이다. 측벽 상의 폴리머 필름에는 직접적인 이온 충격이 행해지지 않기 때문에 그 측벽 상의 폴리머 필름이 남아있게 됨으로써, 측면 에칭을 억제한다.
보쉬 프로세스의 하나의 한계는 에칭된 깊은 피처들의 거칠어진 측벽들이다. 이 한계는 보쉬 프로세스에 사용된 주기적인 에칭/성막 방식으로 인한 것이고, 이 기술분야에서는 측벽 "스캘로핑 (scalloping)" 으로 알려져 있다. 다수의 디바이스 적용을 위해, 이러한 측벽 거칠기 또는 스캘로핑을 최소화시키는 것이 바람직하다. 스캘로핑의 범위는 통상적으로 스캘로핑 길이 및 깊이로서 측정된다. 스캘로핑 길이는 측벽 거칠기의 피크 투 피크 간격 (peak-to-peak distance) 이며, 단일의 에칭 사이클 동안 달성된 에칭 깊이와 직접적으로 상관된다. 스캘로핑 깊이는 측벽 거칠기의 피크 투 밸리 간격 (peak to valley distance) 이며, 개별 에칭 단계의 이방성 정도와 상관된다. 스캘로핑 형성의 범위는 각 에칭/성막 단계의 지속기간을 단축시킴으로써 (즉, 더 높은 주파수에서 반복되는 더 짧은 에칭/성막 단계들) 최소화될 수 있다.
더 평활화된 피처 측벽들 이외에도, 더 높은 전체 에칭 속도를 달성하는 것도 또한 바람직하다. 전체 에칭 속도는, 프로세스의 총 지속기간으로 나뉘어지는, 프로세스에서 에칭된 총 깊이로 규정된다. 전체 에칭 속도는 프로세스 단계 내에서 효율성을 증가시킴으로써 (즉, 데드 타임을 감소시킴으로써) 증가될 수 있다.
도 1 은, 기판 지지체 (130) 및 그 기판 지지체 (130) 를 둘러싸는 프로세싱 챔버 (140) 를 포함하는, 기판 (120) 를 프로세싱하기 위한 종래의 플라즈마 프로세싱 장치 (100) 를 예시한 것이다. 기판 (120) 은, 예를 들어, 4", 6", 8", 12" 등과 같은 직경들을 갖는 반도체 웨이퍼일 수도 있다. 기판 지지체 (130) 는, 예를 들어, 무선 주파수 (RF) 전력공급 전극을 포함할 수도 있다. 기판 지지체 (130) 는 챔버 (140) 의 더 낮은 단벽 (endwall) 으로부터 지지될 수도 있고, 예를 들어, 챔버 (140) 의 측벽으로부터 연장되어 캔틸레버식으로 될 수도 있다. 기판 (120) 은 전극 (130) 에 기계적으로 또는 정전기적으로 클램핑될 수도 있다. 프로세싱 챔버 (140) 는, 예를 들어, 진공 챔버일 수도 있다.
프로세싱 챔버 (140) 내의 프로세스 가스를 고밀도 플라즈마로 활성화시킴으로써 기판 (120) 이 프로세싱 챔버 (140) 내에서 프로세싱된다. 에너지의 소스는 이 챔버 (140) 내에 고밀도 (예를 들어, 1011 내지 1012 ions/㎤) 플라즈마를 유지시킨다. 예를 들어, 안테나 (150), 이를테면, 도 1 에 도시된 평면 멀티턴 나선형 코일, 비-평면 멀티턴 코일, 또는 적절한 RF 소스 및 적절한 RF 임피던스 정합 회로에 의해 전력공급되는 또 다른 형상을 갖는 안테나는 RF 에너지를 챔버에 유도 결합시켜 고밀도 플라즈마를 발생시킨다. 안테나 (150) 에 인가되는 RF 전력은, 챔버 (140) 에 사용되는 상이한 프로세스 가스들 (예를 들어, SF6 을 함유하는 에칭 가스 및 C4F8 을 함유하는 성막 가스) 에 따라 변화될 수 있다. 챔버 (140) 는, 챔버 (140) 내부를 원하는 압력 (예를 들어, 5 Torr 미만, 바람직하게는 1 내지 100 mTorr) 으로 유지시키기에 적절한 진공 펌핑 장치를 포함할 수도 있다. 도 1 에 도시된 균일한 두께의 평면 유전체 윈도우 (155), 또는 비-평면 유전체 윈도우 (미도시) 와 같은 유전체 윈도우가 안테나 (150) 와 프로세싱 챔버 (140) 내부 사이에 제공되고 프로세싱 챔버 (140) 의 상부에 진공 벽을 형성한다. 가스 전달 시스템 (110) 은 유전체 윈도우 (155) 아래의 1차 가스 링 (170) 및/또는 인젝터들 (180) 을 통해 프로세스 가스들을 챔버 (140) 내에 공급하기 위해 사용될 수 있다. 도 1 의 플라즈마 프로세싱 장치 (100) 의 상세들은 공동 소유의 미국 특허 출원 공보 제2001/0010257호, 제2003/0070620호, 미국 특허 제6,013,155호, 또는 미국 특허 제6,270,862호에 개시되어 있고, 이 미국 특허들 각각은 여기에 참조로 그 전체가 포함되어 있다.
고속 가스 스위칭을 위해 설계된 가스 전달 시스템들은 공동 소유의 미국 특허 제7,459,100호 및 제7,708,859호 및 미국 특허 공보 제2007/0158025호 및 제2007/0066038호에 개시되어 있고, 이 개시물들은 여기에 참조로 포함되어 있다.
기판 (120) 은 실리콘 웨이퍼 및/또는 폴리실리콘과 같은 실리콘 재료를 포함하는 것이 바람직하다. 홀들, 비아들 및/또는 트렌치들과 같은 다양한 피처들은 실리콘 재료 내에 에칭되는 것이다. 원하는 피처들을 에칭하기 위해 개구 패턴을 갖는 패터닝된 마스킹 층 (예를 들어, 포토레지스트, 실리콘 산화물, 및/또는 실리콘 질화물) 은 기판 (120) 상에 배치된다.
도 1 의 이러한 장치 (100) 의 하나의 문제는, 1차 가스 링 (170) 이 중심부보다 기판 (120) 의 주변부에 더 가까이 위치되고, 이것은 기판 (120) 의 표면 위의 하나의 프로세스 가스의 또 다른 프로세스 가스로의 완전한 대체를 위해 필요한 시간으로 인해 에칭 속도를 감소시키고, 프로세싱 동안 기판에 걸친 가스 압력 비균일성으로 인해 프로세스 비균일성을 초래할 수 있다는 점이다.
반도체 기판이 프로세싱되는 프로세싱 챔버, 그 프로세싱 동안 반도체 기판이 지지되는 기판 지지체, 프로세싱 챔버에 플라즈마를 발생시키고 유지시키도록 동작가능한 안테나, 챔버의 벽을 형성하는 유전체 윈도우, 유전체 윈도우에 인접하거나 그 유전체 윈도우 내에 포함된 샤워헤드 플레이트, 및 가스 전달 시스템을 포함하는 유도 결합 플라즈마 프로세싱 장치가 여기에 기술된다. 샤워헤드 플레이트는, 유전체 윈도우 아래 또는 내측의 플리넘 (plenum) 과 유체로 연통하는 가스 홀들을 갖는다. 이 플리넘은 500 ㎤ 이하의 가스 볼륨을 가지며, 샤워헤드 플레이트의 플라즈마 노출면과 플리넘 사이에서 연장된 가스 홀들은 적어도 2 의 종횡비를 갖는다. 가스 전달 시스템은 에칭 가스와 성막 가스를 플리넘에 교번적으로 공급하고, 플리넘 내의 에칭 가스를 성막 가스로 200 밀리초 이내에 대체시키거나 또는 플리넘 내의 성막 가스를 에칭 가스로 200 밀리초 이내에 대체시키도록 동작가능하다. 이 플라즈마 프로세싱 장치는 반도체 기판 상의 실리콘에 개구들을 적어도 10 ㎛/분의 속도로 에칭하도록 동작가능하다.
도 1 은 종래의 플라즈마 프로세싱 장치를 도시한 것이다.
도 2 는 바람직한 실시형태에 따른 플라즈마 프로세싱 장치를 도시한 것이다.
도 3, 도 4a 및 도 4b 는 도 2 의 플라즈마 프로세싱 장치와 도 1 의 종래의 플라즈마 프로세싱 장치의 시뮬레이팅된 성능을 도시한 것이다.
여기에 기술된 플라즈마 프로세싱 장치는, 상술된 종래의 장치 (100) 보다 더 큰 균일성으로 더 높은 에칭 속도를 달성할 수 있다.
일 실시형태에 의하면, 도 2 에 도시된 바와 같이, 기판 (220) 을 프로세싱하기 위한 플라즈마 프로세싱 장치 (200) 는 기판 지지체 (230), 및 이 기판 지지체 (230) 를 둘러싸는 프로세싱 챔버 (240) 를 포함한다. 기판 (220) 은, 예를 들어, 8 인치, 12 인치 또는 그 이상의 직경을 갖는 반도체 웨이퍼일 수도 있다. 기판 지지체 (230) 는, 예를 들어, 무선 주파수 (RF) 전력공급 전극을 포함할 수도 있다. 기판 지지체 (130) 는 챔버 (240) 의 더 낮은 단벽 (endwall) 으로부터 지지될 수도 있거나, 또는, 예를 들어, 챔버 (240) 의 측벽으로부터 연장되어 캔틸레버식으로 될 수도 있다. 기판 (220) 은 전극 (230) 에 기계적으로 또는 정전기적으로 클램핑될 수도 있다.
프로세싱 챔버 (240) 내의 프로세스 가스를 고밀도 플라즈마로 활성화시킴으로써 기판 (220) 이 프로세싱 챔버 (240) 에서 프로세싱된다. 에너지의 소스는 챔버 (240) 에 고밀도 (예를 들어, 1011 내지 1012 ions/㎤) 플라즈마를 발생시키고 유지시킨다. 예를 들어, 안테나 (250), 이를테면, 도 2 에 도시된 평면 멀티턴 나선형 코일, 비-평면 멀티턴 코일, 또는 적절한 RF 소스 및 적절한 RF 임피던스 정합 회로에 의해 전력공급되는 또 다른 형상을 갖는 안테나는 RF 에너지를 챔버에 유도 결합시켜 고밀도 플라즈마를 발생시킨다. 안테나 (250) 에 인가되는 RF 전력은, 바람직하게는 약 1 초 미만, 더욱 바람직하게는 약 200 ㎳ 미만의 기간 이내에 에칭 가스 또는 성막 가스를 교번적으로 공급하는 사이클들 동안, 동일한 전력 레벨로 유지될 수 있거나, 또는 챔버 (240) 에 사용되는 상이한 프로세스 가스들 (예를 들어, SF6 을 함유하는 에칭 가스 및 C4F8 을 함유하는 성막 가스) 에 따라 변화될 수 있다. 챔버 (240) 는, 챔버 (240) 내부를 원하는 압력 (예를 들어, 5 Torr 미만, 바람직하게는 1 내지 500 mTorr) 으로 유지시키기에 적절한 진공 펌핑 장치에 의해 배기된다. 이 압력은 에칭 및 성막 사이클들 동안 동일한 레벨로 유지될 수 있거나 변화될 수 있다. 도 2 에 도시된 균일한 두께의 평면 유전체 윈도우 (255), 또는 비-평면 유전체 윈도우와 같은 유전체 윈도우가 안테나 (250) 와 프로세싱 챔버 (240) 내부 사이에 제공되고, 프로세싱 챔버 (240) 의 상부에 진공 벽을 형성한다. 샤워헤드 플레이트 (270) 는 유전체 윈도우 (255) 아래에 배치될 수 있거나 또는 유전체 윈도우 (255) 의 부분일 수 있다. 가스 전달 시스템 (210) 은 샤워헤드 플레이트 (270) 를 통해 프로세스 가스들을 챔버 (240) 내에 공급하기 위해 사용될 수 있다. 이 가스 전달 시스템은 40 밀리초 이내에, 바람직하게는 30 밀리초 이내에 개방하고 폐쇄하는 고속 스위칭 밸브들 (이를테면, ALD 밸브들) 을 통해 에칭 가스 또는 성막 가스를 챔버 내에 교번적으로 공급한다. 이 밸브들은 에칭 가스가 샤워헤드에 공급되는 동안 성막 가스를 바이패스 라인으로 향하게 하지 않거나 또는 그 반대의 경우인 온-오프 밸브들이다. ALD 밸브들은, 개방 또는 폐쇄 전에 안정화시키는데 250 밀리초가 걸릴 수 있는 MFC 밸브들보다 더 고속의 스위칭을 제공한다.
바람직한 실시형태에서, 샤워헤드 플레이트 (270) 는 플리넘 (plenum; 270a) 에 의해 유전체 윈도우 (255) 로부터 분리되고, 샤워헤드 플레이트 (270) 는, 플리넘의 하나 이상의 영역들로부터의 하나 이상의 프로세스 가스들을 챔버 (240) 내에 주입하도록 동작가능한 가스 주입 홀들 (270b) 을 포함한다. 플리넘 (270a) 의 총 볼륨은 약 500 ㎤ 이하인 것이 바람직하다. 샤워헤드 플레이트 (270) 는 세라믹과 같은 전기적으로 절연인 재료 (예를 들어, 알루미나, 실리콘 질화물, 알루미늄 질화물, 도핑된 실리콘 탄화물, 석영 등) 로 이루어지는 것이 바람직하다. 이 가스 홀들은 0.06 인치 이하의 직경 및 적어도 2 의 종횡비를 갖는다. 예를 들어, 샤워헤드 플레이트는 적어도 0.2 인치, 바람직하게는 0.2 내지 0.4 인치의 두께를 가질 수 있다. 그러나, 샤워헤드 플레이트는, 그 내부의 플리넘을 이용하여 윈도우를 세라믹 분말로 형성하고, 신터링하여 그 신터링된 윈도우에서 가스 홀들을 드릴링함으로써, 유전체 윈도우 내에 포함될 수 있다. 기판 지지체를 수직 방향으로 이동시킴으로써 기판 (220) 과 샤워헤드 플레이트 (270) 의 바닥면 사이의 수직 간격 (챔버 갭) 이 변화되어, 기판과 샤워헤드 플레이트 사이에 플라즈마가 발생되는 챔버 갭을 조정하도록 할 수 있다.
기판 (220) 은 실리콘 웨이퍼 및/또는 폴리실리콘과 같은 실리콘 재료를 포함하는 것이 바람직하다. 홀들, 비아들 및/또는 트렌치들과 같은 다양한 피처들은 실리콘 재료 내에 에칭되는 것이다. 원하는 피처들을 에칭하기 위해 개구 패턴을 갖는 패터닝된 마스킹 층 (예를 들어, 포토레지스트, 실리콘 산화물, 및/또는 실리콘 질화물) 은 기판 (220) 상에 배치된다.
측면 가스 주입을 이용한 종래의 플라즈마 프로세싱 장치 (100) 와 비교하면, 플라즈마 프로세싱 장치 (200) 는 챔버 갭 내의 프로세스 가스를 에칭 가스로부터 성막 가스로 또는 그 반대로 더욱 급속하고 균일하게 스위칭할 수 있다. 기판 (220) 이 300 mm 의 직경을 가지며 챔버 갭이 4 인치보다 큰 하나의 실시형태에 있어서, 이 장치 (200) 는 플리넘 (270a) 과 가스 주입 홀들 (270b) 내의 프로세스 가스를 약 200 밀리초 이내에 본질적으로 완전히 스위칭 (예를 들어, 적어도 90%) 할 수 있고, 챔버 갭 내의 프로세스 가스를 약 700 밀리초 이내에 본질적으로 완전히 스위칭 (예를 들어, 적어도 90%) 할 수 있다. 이러한 급속한 가스 스위칭은 플라즈마 프로세싱 장치 (200) 를 이용한 실리콘 내의 개구들의 에칭 속도를 10 ㎛/min 넘어서까지 상당히 증가시킬 수 있고, 에칭되는 피처들의 임계 치수 (CD) 에 따라 에칭 속도가 20 ㎛/min 보다 더 높을 수 있는 반면, 측면 가스 주입을 이용한 것은 약 3 ㎛/min 의 에칭 속도를 제공한다.
도 3 및 표 1 은 챔버 갭 내의 에칭 가스로부터 성막 가스로의 스위칭에 있어서의 장치 (100) 와 장치 (200) 의 성능을 도시한 것이고, 여기서 기판 (220) 은 300 mm 의 직경을 가지며, 챔버 갭은 4 인치보다 크다. 도 3 에서, 트레이스 (310) 는 장치 (100) 에서의 시간 함수로서 성막 가스의 웨이퍼 평균 질량 분율이고, 트레이스 (320) 는 장치 (200) 에서의 시간 함수로서 성막 가스의 웨이퍼 평균 질량 분율이다. 장치 (200) 의 밀리초 (㎳) 단위의 가스 스위칭 시간은 장치 (100) 의 것보다 상당히 짧다.
Figure 112013038976517-pct00001
챔버 갭 (점선으로 된 박스로 둘러싸인 공간) 내의 SF6 성막 가스로부터 C4F8 에칭 가스로의 스위칭에 있어서, 도 4a 는 장치 (100) 의 시뮬레이팅된 시간 의존적 성능을 도시한 것이고 도 4b 는 장치 (200) 의 시뮬레이팅된 시간 의존적 성능을 도시한 것이고, 여기서 기판은 300 mm 의 직경을 가지며, C4F8 은 500 sccm 미만의 플로우 레이트로 공급되고, 챔버 갭은 적어도 4 인치이다. 장치 (100) 에서, 약 0.5 초 후에 상당한 양의 SF6 이 웨이퍼 표면 상에 남아있는 반면, 장치 (200) 는 본질적으로 모든 SF6 가스가 C4F8 로 0.5 초 내에 대체할 수 있다. 장치 (200) 에서, 프로세스 가스는 장치 (100) 보다 더욱 신속하고 균일하게 대체될 수 있다.
플라즈마 프로세싱 장치 (200) 는, 반도체 기판이 프로세싱되는 프로세싱 챔버, 기판 지지체, 챔버의 벽을 형성하는 유전체 윈도우, 유전체 윈도우를 통해 RF 에너지를 유도 결합하여 프로세스 챔버에 플라즈마를 발생시키고 유지시키도록 동작가능한 안테나, 유전체 윈도우에 인접하거나 또는 유전체 윈도우 내에 포함된 샤워헤드 플레이트를 포함하고, 이 샤워헤드 플레이트는 유전체 윈도우 아래 또는 내측의 플리넘와 유체로 연통하는 가스 홀들을 갖고, 이 플리넘은 500 ㎤ 이하의 가스 볼륨을 갖고, 이 가스 홀들은 샤워헤드 플레이트의 플라즈마 노출면과 플리넘 사이에서 연장되며, 여기서 가스 홀들은 적어도 2 의 종횡비를 갖는 것이 바람직하다. 가스 전달 시스템은 에칭 가스와 성막 가스를 플리넘에 교번적으로 공급하고 플리넘 내의 에칭 가스를 성막 가스로 200 밀리초 이내에 대체시키거나 또는 플리넘 내의 성막 가스를 에칭 가스로 200 밀리초 이내에 대체시키도록 동작가능하다. 플라즈마 프로세싱 장치는 기판 지지체 상에 지지된 반도체 기판 상의 실리콘을 적어도 10 ㎛/min 의 속도로 에칭하기 위해 사용될 수 있다.
바람직하게는, 샤워헤드 플레이트는 전기적으로 절연인 재료로 이루어지고, 600개 이하의 가스 홀들, 바람직하게는 100개 미만의 가스 홀들을 포함하며, RF 전력을 안테나로 공급함으로써 발생된 플라즈마는 고밀도 플라즈마이다. 이 플라즈마 프로세싱 장치는, 에칭 가스로부터 성막 가스로 또는 그 반대로, 플리넘 내의 프로세스 가스를 200 ㎳ 이내에, 그리고 프로세싱 챔버 내의 플라즈마 한정 영역 (챔버 갭) 내의 프로세스 가스를 약 500 ㎳ 이내에 본질적으로 완전히 스위칭하도록 동작가능하다.
바람직한 실시형태에서, 에칭 가스는 SF6 이고 성막 가스는 C4F8 이다. 샤워헤드 플레이트는 안테나와 프로세싱 챔버 사이에 배치된 유전체 윈도우의 아래쪽에 본딩되거나 또는 진공 밀봉될 수 있다. 동작시, 가스 공급 시스템은 성막 가스를 플리넘에 공급하는 동안 에칭 가스를 진공 라인으로 전환시키지 않으며, 에칭 가스를 플리넘에 공급하는 동안 성막 가스를 진공 라인으로 전환시키지 않는다. 원한다면, 플리넘은, 가스 홀들의 내측 그룹과 유체로 연통하는 내측 영역과, 가스 홀들의 외측 그룹과 유체로 연통하는 외측 영역으로 나뉘어질 수 있다.
상술된 플라즈마 프로세싱 장치를 사용한 기판의 프로세싱은, (a) 기판을 챔버에 지지하는 것, (b) 에칭 가스를 플리넘에 공급하고 그 에칭 가스를 샤워헤드 플레이트 내의 가스 홀들을 통해 챔버 갭 내로 흐르게 하는 것, (c) 챔버 내의 에칭 가스를 제 1 플라즈마로 활성화시키고 그 제 1 플라즈마로 기판을 프로세싱하는 것, (d) 성막 가스를 플리넘에 공급하여 에칭 가스를 실질적으로 대체시키고 성막 가스를 샤워헤드 플레이트 내의 가스 홀들을 통해 챔버 갭 내로 흐르게 하는 것, (e) 챔버 내의 성막 가스를 제 2 플라즈마로 활성화시키고 그 제 2 플라즈마로 기판을 프로세싱하는 것, (f) 1.8 초 이하의 총 사이클 시간에서 단계 (b) 내지 단계 (e) 를 반복하는 것을 포함하는 것이 바람직하다.
단계 (b) 에서는 에칭 가스가 약 500 밀리초의 기간 이내에 챔버 갭 내의 성막 가스의 적어도 90% 를 대체하는 것이 바람직하고, 단계 (d) 에서는 성막 가스가 약 500 밀리초의 기간 이내에 챔버 갭 내의 에칭 가스의 적어도 90% 를 대체하는 것이 바람직하다. 이러한 프로세스 동안, 플리넘 내의 압력은 단계 (b) 내지 단계 (e) 동안 적어도 5 Torr 이다. 에칭 가스와 성막 가스를 공급하는 사이클 동안, 에칭 가스를 공급하는 총 시간은 1.3 초 이하일 수 있고, 성막 가스를 공급하는 총 시간은 0.7 초 이하일 수 있다.
에칭 가스의 공급 동안 챔버 갭 내의 압력이 150 mTorr 보다 크고 성막 가스의 공급 동안 챔버 갭 내의 압력이 150 mTorr 미만이도록 챔버 압력이 조정될 수 있다. 바람직한 프로세스에서, 에칭 가스는 적어도 500 sccm 의 플로우 레이트로 플리넘에 공급되고, 성막 가스는 500 sccm 미만의 플로우 레이트로 플리넘에 공급된다. 바람직하게는, 에칭 가스와 성막 가스는 유전체 윈도우의 상부면의 중심부에서의 단일 개구를 통해 플리넘에 공급되고, 기판과 샤워헤드 플레이트 사이의 챔버 갭은 4 인치보다 크다. 에칭 가스의 공급 동안, 기판에 대해, 에칭 단계의 폴리머 클리어링 페이즈 (polymer clearing phase) 중에 200 밀리초 동안 150 mTorr 미만으로 유지되고 플라즈마 에칭 단계의 잔여기간 (remainder) 동안 150 mTorr 초과로 유지되는 챔버 갭 내의 압력으로 고 종횡비 개구들의 플라즈마 에칭이 행해질 수 있다. 성막 가스의 공급 동안, 제 2 플라즈마는 전체 성막 단계 동안 150 mTorr 미만으로 유지되는 챔버 갭 내의 압력으로 개구들의 측벽들 상에 폴리머 코팅을 성막할 수 있다. 에칭 가스는 CCl4 와 같은 가스를 함유하는 C4F8, XeF2, NF3, Cl 중 하나 이상일 수 있고, 성막 가스는 C4F8, C4F6, CHF3, CH2F2 중 하나 이상일 수 있다.
에칭 가스를 플리넘의 내측 및 외측 영역들에 전달하는 제 1 및 제 2 가스 라인들에 에칭 가스가 제 1 밸브를 통해 공급될 수 있고, 여기서 제 1 및 제 2 가스 라인들은 동일한 컨덕턴스를 가지며, 성막 가스를 플리넘의 내측 및 외측 영역들과 유체로 연통하는 유전체 윈도우 내의 유입구들에 전달하는 제 3 및 제 4 가스 라인들에 성막 가스가 제 2 밸브를 통해 공급되고, 여기서 제 3 및 제 4 가스 라인들은 동일한 컨덕턴스를 갖는다. 대안적으로, 에칭 가스가 제 1 밸브를 통해 유전체 윈도우의 중심부에서의 단일 가스 유입구에 공급될 수 있고, 성막 가스가 제 2 밸브를 통해 그 단일 가스 유입구에 공급될 수 있으며, 이 제 1 및 제 2 밸브들은 30 밀리초 이하의 개방 시간을 갖는 ALD 밸브들이다. 예를 들어, 고속으로 동작하는 Swagelok ALD 밸브들이 사용될 수 있고, 여기서 제어기로부터의 신호의 수신시에 고속으로 동작하는 솔레노이드 밸브들은 압축 공기를 고속 스위칭 밸브에 10 ㎳ 이내에 전송하고, 고속 스위칭 밸브를 개방하거나 폐쇄하기 위한 총 시간은 30 ㎳ 이하일 수 있다.
본 명세서에서, "약" 이라는 단어는 종종 수치와 함께 사용되어 그 수치의 수학적 정밀도가 의도된 것이 아니라는 것을 나타낸다. 이에 따라, "약" 이 수치와 함께 사용되는 경우, 10% 의 허용오차가 그 수치에 대해 고려되는 것으로 의도된다.
프로세스 가스를 신속하게 스위칭하도록 동작가능한 플라즈마 프로세싱 장치가 그의 특정 실시형태들을 참조하여 상세히 기술되었지만, 당업자에게는 첨부된 청구항들의 범위로부터 벗어나는 일 없이 다양한 변경 및 변형이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 자명하다.

Claims (20)

  1. 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치에 있어서,
    상기 반도체 기판이 프로세싱되는 프로세싱 챔버;
    상기 프로세싱 동안 상기 반도체 기판이 지지되는 기판 지지체;
    상기 프로세싱 챔버의 벽을 형성하는 유전체 윈도우;
    RF 에너지를 상기 프로세싱 챔버에 유도 결합하고 상기 기판 지지체와 상기 유전체 윈도우 사이의 챔버 갭 내의 프로세스 가스를 플라즈마로 활성화시키도록 동작가능한 안테나;
    상기 유전체 윈도우에 인접하거나 상기 유전체 윈도우 내에 포함된 샤워헤드 플레이트로서, 상기 샤워헤드 플레이트는 상기 유전체 윈도우 아래 또는 내측의 플리넘 (plenum) 과 유체로 연통하는 가스 홀들을 갖고, 상기 플리넘은 500 ㎤ 이하의 가스 볼륨을 갖고, 상기 가스 홀들은 상기 샤워헤드 플레이트의 플라즈마 노출면과 상기 플리넘 사이에서 연장되고, 상기 가스 홀들은 적어도 2의 종횡비 (aspect ratio) 를 갖는, 상기 샤워헤드 플레이트; 및
    상기 플리넘 내의 에칭 가스가 200 밀리초 이내에 성막 가스로 대체되거나 상기 플리넘 내의 상기 성막 가스가 200 밀리초 이내에 상기 에칭 가스로 대체되기 위해서, 상기 에칭 가스 및 상기 성막 가스를 포함하는 프로세스 가스를 상기 플리넘에 교번적으로 공급하도록 동작가능한 가스 전달 시스템을 포함하고,
    상기 유도 결합 플라즈마 프로세싱 장치는, 상기 반도체 기판 상의 실리콘 재료에 개구들을 적어도 10 ㎛/분의 속도로 에칭하도록 동작가능한, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 샤워헤드 플레이트는 최대 600개의 가스 홀들을 포함하는, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 플라즈마는 고밀도 플라즈마인, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  4. 제 1 항에 있어서,
    상기 유도 결합 플라즈마 프로세싱 장치는, 500 ㎳ 이내에 상기 챔버 갭 내의 프로세스 가스를 상기 에칭 가스로부터 상기 성막 가스로 또는 상기 성막 가스로부터 상기 에칭 가스로 본질적으로 완전히 스위칭하도록 동작가능한, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  5. 제 1 항에 있어서,
    상기 에칭 가스는 SF6 이고,
    상기 성막 가스는 C4F8 인, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  6. 제 1 항에 있어서,
    상기 프로세싱 챔버는, 상기 프로세스 가스가 상기 플리넘에 전달되는, 상기 유전체 윈도우의 중심부에서의 단일 가스 유입구를 포함하는, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  7. 제 1 항에 있어서,
    상기 가스 전달 시스템은, 상기 플리넘으로의 상기 성막 가스의 공급 동안 상기 에칭 가스를 진공 라인으로 전환시키지 않고, 상기 플리넘으로의 상기 에칭 가스의 공급 동안 상기 성막 가스를 진공 라인으로 전환시키지 않는, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  8. 제 1 항에 있어서,
    상기 플리넘은 개별적인 내측 영역 및 외측 영역을 포함하고,
    상기 내측 영역은 상기 가스 홀들의 내측 그룹과 유체로 연통하고,
    상기 외측 영역은 상기 가스 홀들의 외측 그룹 및 외측 가스 공급 유입구와 유체로 연통하는, 반도체 기판들에 플라즈마 에칭이 행해지는 유도 결합 플라즈마 프로세싱 장치.
  9. 제 1 항에 기재된 상기 유도 결합 플라즈마 프로세싱 장치를 이용하여 반도체 기판을 프로세싱하는 방법에 있어서,
    (a) 상기 프로세싱 챔버에서 상기 기판 지지체 상에 상기 반도체 기판을 지지하는 단계;
    (b) 상기 에칭 가스가 상기 샤워헤드 플레이트 내의 상기 가스 홀들을 통해 상기 챔버 갭 내로 흐르도록 상기 성막 가스의 유동을 차단하고 상기 에칭 가스를 상기 플리넘에 공급하는 단계;
    (c) 상기 챔버 갭 내의 상기 에칭 가스를 제 1 플라즈마로 활성화시키고, 상기 제 1 플라즈마로 상기 반도체 기판에 개구들을 플라즈마 에칭하는 단계;
    (d) 상기 성막 가스가 상기 샤워헤드 플레이트 내의 상기 가스 홀들을 통해 상기 챔버 갭 내로 흐르도록 상기 에칭 가스의 유동을 차단하고 상기 성막 가스를 상기 플리넘에 공급하는 단계;
    (e) 상기 챔버 갭 내의 상기 성막 가스를 제 2 플라즈마로 활성화시키고, 상기 제 2 플라즈마로 상기 개구들에 폴리머를 성막하는 단계; 및
    (f) 1.8 초 이하의 총 사이클 시간으로 상기 단계 (b) 내지 상기 단계 (e) 를 반복하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  10. 제 9 항에 있어서,
    상기 반도체 기판은 실리콘 웨이퍼이고,
    상기 단계 (b) 에서는 상기 에칭 가스가 500 밀리초의 기간 이내에 상기 챔버 갭 내의 상기 성막 가스를 대체하고,
    상기 단계 (d) 에서는 상기 성막 가스가 500 밀리초의 기간 이내에 상기 챔버 갭 내의 상기 에칭 가스를 대체하는, 반도체 기판을 프로세싱하는 방법.
  11. 제 9 항에 있어서,
    상기 단계 (b) 내지 상기 단계 (e) 동안 상기 플리넘 내의 압력은 적어도 1 Torr 인, 반도체 기판을 프로세싱하는 방법.
  12. 제 9 항에 있어서,
    상기 에칭 가스를 공급하는 총 시간은 1.3 초 이하이고,
    상기 성막 가스를 공급하는 총 시간은 0.7 초 이하인, 반도체 기판을 프로세싱하는 방법.
  13. 제 9 항에 있어서,
    상기 에칭 가스의 공급 동안 상기 챔버 갭 내의 압력은 적어도 150 mTorr 이고,
    상기 성막 가스의 공급 동안 상기 챔버 갭 내의 압력은 150 mTorr 미만인, 반도체 기판을 프로세싱하는 방법.
  14. 제 9 항에 있어서,
    상기 에칭 가스는 적어도 500 sccm 의 플로우 레이트로 상기 플리넘에 공급되고,
    상기 성막 가스는 500 sccm 미만의 플로우 레이트로 상기 플리넘에 공급되는, 반도체 기판을 프로세싱하는 방법.
  15. 제 9 항에 있어서,
    상기 에칭 가스 및 상기 성막 가스는 상기 유전체 윈도우의 중심부에서의 단일 개구를 통해 상기 플리넘에 공급되고,
    상기 에칭 가스의 공급 동안 상기 챔버 갭 내의 압력은 적어도 150 mTorr 이고,
    상기 성막 가스의 공급 동안 상기 챔버 갭 내의 압력은 150 mTorr 미만이고,
    상기 에칭 가스는 적어도 500 sccm 의 플로우 레이트로 상기 플리넘에 공급되며,
    상기 성막 가스는 500 sccm 미만의 플로우 레이트로 상기 플리넘에 공급되는, 반도체 기판을 프로세싱하는 방법.
  16. 제 9 항에 있어서,
    상기 반도체 기판과 상기 샤워헤드 플레이트 사이의 상기 챔버 갭은 적어도 4 인치인, 반도체 기판을 프로세싱하는 방법.
  17. 제 9 항에 있어서,
    상기 에칭 가스의 공급 동안, 상기 반도체 기판에 대해, 상기 플라즈마 에칭하는 단계의 폴리머 클리어링 페이즈 (polymer clearing phase) 중에 200 밀리초 동안 150 mTorr 미만으로 유지되고 잔여기간 (remainder) 동안 적어도 150 mTorr 로 유지되는 상기 챔버 갭 내의 압력으로 상기 실리콘에 고 종횡비 개구들을 플라즈마 에칭하는, 반도체 기판을 프로세싱하는 방법.
  18. 제 17 항에 있어서,
    상기 성막 가스의 공급 동안, 상기 챔버 갭 내의 압력은 전체 성막 단계 동안 150 mTorr 미만으로 유지되는, 반도체 기판을 프로세싱하는 방법.
  19. 제 9 항에 있어서,
    상기 에칭 가스는, 제 1 밸브를 통해, 상기 에칭 가스를 상기 플리넘의 내측 영역 및 외측 영역에 전달하는 제 1 가스 라인 및 제 2 가스 라인에 공급되고,
    상기 제 1 가스 라인 및 상기 제 2 가스 라인은 동일한 길이들을 갖고,
    상기 성막 가스는, 제 2 밸브를 통해, 상기 성막 가스를 상기 플리넘의 상기 내측 영역 및 상기 외측 영역에 전달하는 제 3 가스 라인 및 제 4 가스 라인에 공급되며,
    상기 제 3 가스 라인 및 상기 제 4 가스 라인은 동일한 길이들을 갖는, 반도체 기판을 프로세싱하는 방법.
  20. 제 9 항에 있어서,
    상기 에칭 가스는 제 1 밸브를 통해 상기 유전체 윈도우의 중심부에서의 단일 가스 유입구에 공급되고,
    상기 성막 가스는 제 2 밸브를 통해 상기 단일 가스 유입구에 공급되며,
    상기 제 1 밸브 및 상기 제 2 밸브는 30 밀리초 이하의 개방 시간들을 갖는 ALD 밸브들인, 반도체 기판을 프로세싱하는 방법.
KR1020137011452A 2010-11-03 2011-10-31 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭 KR101841315B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/938,918 2010-11-03
US12/938,918 US8133349B1 (en) 2010-11-03 2010-11-03 Rapid and uniform gas switching for a plasma etch process
PCT/US2011/058546 WO2012061277A2 (en) 2010-11-03 2011-10-31 Rapid and uniform gas switching for a plasma etch process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187007611A Division KR101941828B1 (ko) 2010-11-03 2011-10-31 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭

Publications (2)

Publication Number Publication Date
KR20130138252A KR20130138252A (ko) 2013-12-18
KR101841315B1 true KR101841315B1 (ko) 2018-03-22

Family

ID=45787872

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187007611A KR101941828B1 (ko) 2010-11-03 2011-10-31 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭
KR1020137011452A KR101841315B1 (ko) 2010-11-03 2011-10-31 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020187007611A KR101941828B1 (ko) 2010-11-03 2011-10-31 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭

Country Status (5)

Country Link
US (2) US8133349B1 (ko)
KR (2) KR101941828B1 (ko)
SG (1) SG190062A1 (ko)
TW (1) TW201243937A (ko)
WO (1) WO2012061277A2 (ko)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
US9114438B2 (en) * 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
GB201309583D0 (en) 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
JP6219179B2 (ja) * 2014-01-20 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
CN105981133B (zh) 2014-02-14 2019-06-28 应用材料公司 具有注入组件的上部圆顶
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10002773B2 (en) 2016-10-11 2018-06-19 Lam Research Corporation Method for selectively etching silicon oxide with respect to an organic mask
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN108231621A (zh) * 2016-12-15 2018-06-29 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
GB201709668D0 (en) 2017-06-16 2017-08-02 Spts Technologies Ltd Microneedles
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20190386256A1 (en) * 2018-06-18 2019-12-19 Universal Display Corporation Sequential material sources for thermally challenged OLED materials
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
CN110211870B (zh) * 2019-06-18 2021-08-13 北京北方华创微电子装备有限公司 晶圆减薄方法
KR102262034B1 (ko) * 2019-10-02 2021-06-07 세메스 주식회사 기판 처리 시스템
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
CN112908886B (zh) * 2019-11-19 2022-12-02 夏泰鑫半导体(青岛)有限公司 半导体处理设备
CN111489948B (zh) * 2020-04-20 2023-01-17 北京北方华创微电子装备有限公司 半导体腔室及其进气结构

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
KR100514150B1 (ko) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 기판 에칭 방법 및 장치
KR20070104254A (ko) * 2006-04-21 2007-10-25 동경 엘렉트론 주식회사 Ti 막의 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR20080091805A (ko) * 2006-01-11 2008-10-14 램 리써치 코포레이션 가스 분배 시스템용의 상이한 유동 계수들을 갖는 밸브들을포함한 가스 스위칭부
KR20090082493A (ko) * 2006-11-17 2009-07-30 램 리써치 코포레이션 고속 가스 스위칭 플라즈마 프로세싱 장치
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
KR100971559B1 (ko) * 2001-07-13 2010-07-20 액셀리스 테크놀로지스, 인크. 플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4980204A (en) 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP2000514136A (ja) 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP1357584A3 (en) 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
US6033585A (en) 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
ATE352868T1 (de) 1998-07-23 2007-02-15 Surface Technology Systems Plc Verfahren für anisotropes ätzen
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP2001156045A (ja) * 1999-11-26 2001-06-08 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
EP1308992A4 (en) * 2000-08-11 2006-01-18 Tokyo Electron Ltd DEVICE AND METHOD FOR TREATING SUBSTRATES
JP2002129337A (ja) 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7169255B2 (en) 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
WO2004044552A2 (en) * 2002-11-12 2004-05-27 Nanoink, Inc. Methods and apparatus for ink delivery to nanolithographic probe systems
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
JP4177192B2 (ja) 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US20050029221A1 (en) 2003-08-09 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench etching using HDP chamber
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP2006278827A (ja) 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8546264B2 (en) 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
JP4673173B2 (ja) 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
CN101903989B (zh) 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
CN101978479A (zh) 2008-03-21 2011-02-16 应用材料公司 基材蚀刻系统与制程的方法及设备
US8310128B2 (en) * 2008-05-07 2012-11-13 The Board Of Trustees Of The University Of Illinois High precision silicon-on-insulator MEMS parallel kinematic stages
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US9039908B2 (en) 2008-08-27 2015-05-26 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
US20110207323A1 (en) * 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
KR100514150B1 (ko) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 기판 에칭 방법 및 장치
KR100971559B1 (ko) * 2001-07-13 2010-07-20 액셀리스 테크놀로지스, 인크. 플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치
KR20080091805A (ko) * 2006-01-11 2008-10-14 램 리써치 코포레이션 가스 분배 시스템용의 상이한 유동 계수들을 갖는 밸브들을포함한 가스 스위칭부
KR20070104254A (ko) * 2006-04-21 2007-10-25 동경 엘렉트론 주식회사 Ti 막의 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR20090082493A (ko) * 2006-11-17 2009-07-30 램 리써치 코포레이션 고속 가스 스위칭 플라즈마 프로세싱 장치
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process

Also Published As

Publication number Publication date
SG190062A1 (en) 2013-06-28
KR101941828B1 (ko) 2019-01-23
US20120156880A1 (en) 2012-06-21
US9011631B2 (en) 2015-04-21
KR20130138252A (ko) 2013-12-18
WO2012061277A2 (en) 2012-05-10
US8133349B1 (en) 2012-03-13
WO2012061277A3 (en) 2013-05-23
TW201243937A (en) 2012-11-01
KR20180030954A (ko) 2018-03-26

Similar Documents

Publication Publication Date Title
KR101841315B1 (ko) 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭
US20230317412A1 (en) Pulsed plasma chamber in dual chamber configuration
TWI460784B (zh) 在晶圓上提供一層電漿蝕刻的設備
US9082720B2 (en) Semiconductor device manufacturing method
KR101811910B1 (ko) 질화규소막에 피처를 에칭하는 방법
KR101033374B1 (ko) 펄스화된 vhf 동작에 의한 플라즈마 종 및 균일성 제어
KR20150072342A (ko) 반도체 장치의 제조 방법
US9679751B2 (en) Chamber filler kit for plasma etch chamber useful for fast gas switching
JPWO2012002232A1 (ja) プラズマ処理装置及び方法
JP6488150B2 (ja) プラズマ処理装置およびプラズマ処理方法
US9263239B1 (en) Etching method of multilayered film
US20050126711A1 (en) Plasma processing apparatus
US9111727B2 (en) Plasma tuning rods in microwave resonator plasma sources
CN109983558A (zh) 成膜装置及成膜方法
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
US20060113277A1 (en) Micro-fluid ejection head containing reentrant fluid feed slots
KR20020031997A (ko) 고밀도 플라즈마 산화막 식각 장치
JP4541193B2 (ja) エッチング方法
KR101503258B1 (ko) 플라즈마를 이용한 기판 처리 방법
US20230187214A1 (en) Remote source pulsing with advanced pulse control
KR102118405B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN113555268A (zh) 半导体工艺设备及刻蚀方法
JP2013004745A (ja) エッチング装置及びエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant