KR100971559B1 - 플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치 - Google Patents

플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치 Download PDF

Info

Publication number
KR100971559B1
KR100971559B1 KR1020037017255A KR20037017255A KR100971559B1 KR 100971559 B1 KR100971559 B1 KR 100971559B1 KR 1020037017255 A KR1020037017255 A KR 1020037017255A KR 20037017255 A KR20037017255 A KR 20037017255A KR 100971559 B1 KR100971559 B1 KR 100971559B1
Authority
KR
South Korea
Prior art keywords
plasma
throttle plate
throttle
ions
wafer
Prior art date
Application number
KR1020037017255A
Other languages
English (en)
Other versions
KR20040021621A (ko
Inventor
스리바스타바어심
색티벨팔라니
사윈허버트
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20040021621A publication Critical patent/KR20040021621A/ko
Application granted granted Critical
Publication of KR100971559B1 publication Critical patent/KR100971559B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 웨이퍼의 플라즈마 프로세싱에서 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법이 개시되어 있다. 본 발명의 예시적인 실시예에 있어서, 상기 방법은 플라즈마 배기가스를 발생시키기 위해 기체 종으로부터 플라즈마를 생성하는 단계를 포함한다. 다음으로, 상기 플라즈마 배기가스는 웨이퍼를 수용하는 프로세스 챔버내로 도입된다. 플라즈마 배기가스의 이온 함량은 플라즈마가 프로세스 챔버내로 삽입됨으로써 내부에 1차 방전을 생성할 때, 추가 이온원을 활성화시킴으로써 향상된다. 다음으로, 1차 플라즈마 방전이 조절판 어셈블리로 지향됨으로써, 조절판 어셈블리를 빠져나가는 2차 플라즈마 방전을 생성한다. 2차 플라즈마 방전에 포함된 이온상에 가해지는 전계의 강도가 감소한다. 이와 같이 하는데 있어서, 감소된 전계 강도는, 웨이퍼상에 형성된 반도체 소자에 손상을 야기하기에 충분하지 않은 에너지로 이온이 웨이퍼에 충돌하도록 한다.
플라즈마 프로세싱, 조절판, 반도체 소자, 전계 강도, 이온, 웨이퍼, 프로세스 챔버.

Description

플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치{METHOD AND APPARATUS FOR MICRO-JET ENABLED, LOW ENERGY ION GENERATION AND TRANSPORT IN PLASMA PROCESSING}
본 발명은 2001년 4월 6일에 출원된 출원번호 제09/828,055호에 일부 연속되는 것이다.
본 발명은 일반적으로 반도체 웨이퍼의 플라즈마 프로세싱에 관한 것으로서, 특히 플라즈마 애싱(ashing) 시스템에서 사용하기 위한 저-에너지 이온 생성 및 이송에 관한 것이다.
집적 회로 제조에 있어서, 포토리소그래피 기술이 기판상에 집적회로 패턴을 형성하는데 사용된다. 보편적으로, 반도체 기판은 포토레지스트 물질로 코팅되며, 그 일부가 마스크를 통해 자외선(UV) 복사를 통해 노출되어 포토레지스트상에 원하는 회로 패턴을 형성한다. UV 복사에 노출되지않고 남아있는 포토레지스트 부분은 기판상에 상기 노출된 부분만을 남겨놓고 프로세싱 용액에 의해 제거된다. 어떤 경우, 상기 남아있는 노출 부분은, 포토레지스트가 후속 프로세싱에 견딜 수 있게 하기 위해 포토안정화(photostabilization) 프로세스 동안 UV 광선을 사용하여 구워진다(bake).
집적회로 부품이 형성되는 상기 프로세싱 후, 일반적으로는 남아있는 포토레지스트를 웨이퍼로부터 제거할 필요가 있다. 이 외에도, 에칭과 같은 프로세스를 통해 기판 표면상에 도입될 수도 있는 잔류물이 제거되어야 한다. 보편적으로, 포토레지스트는 원자 산소 및 그 밖의 기체 존재시 "애싱되거나" 또는 "버닝되며(burned)", 잔류물과 함께 상기 애싱되거나 버닝된 포토레지스트는 기판의 표면으로부터 "스트리핑되거나(stripped)" 또는 "클리닝(cleaned)"된다.
포토레지스트와 잔류물을 제거하는 한 가지 방법은 무선 주파수(RF) 에너지공급되는(energized) 또는 마이크로파(microwave)-에너지공급되는 플라즈마를 기판 표면으로 지향되게 하는 것이다. 마이크로파-에너지공급된 플라즈마의 경우, 플라즈마는 공진 마이크로파 공동을 통과하는 플라즈마 튜브를 통해 이송되는 기체 혼합물에 의해 형성된다. 공동내의 마이크로파 에너지가 플라즈마 튜브내로 도입되어, 내부에 기체 혼합물을 여기시켜(excite) 플라즈마를 형성한다. 반응성 종을 포함하는 상기 여기된 플라즈마 배기가스(exhaust)는 튜브로부터 프로세스 챔버내로 전달되는데, 여기에는 애싱될 포토레지스트-코팅된 반도체 기판이 존재한다. 이러한 유형의 애셔(asher)는 "다운스트림 애셔(downstream asher)"로 공지되어 있는데, 여기서 레지스트 코팅된 기판은 "업스트림(upstream)" 플라즈마 소스로 공지되어 있는 플라즈마 발생기로부터 물리적으로 제거된다.
비교적 높은 이온 주입량이 레지스트-코팅된 웨이퍼에 제공된 반도체 응용에 있어서(예컨대, ≥1x1015cm-2), 포토레지스트의 상부층은 아래의 남아있는 레지스트로부터의 트랩핑된(trapped) 용매의 확산에 침투되지않는 높게 탄화된 막껍질(crust)로 변한다. 따라서, 상기 막껍질은 용매가 막껍질을 폭발적으로 빠져나가는 것을 방지하도록 애셔에 의해 (일반적으로 낮은 웨이퍼 온도에서) 조심스럽게 제거되어야 한다. 그렇지 않을 경우, 상기와 같은 조건은 포토레지스트상에 "팝퍼(popper)"를 생성하게 된다. 팝퍼에 의해 종종 웨이퍼 표면상에 남아있는 잔류물은 제거되기 어려우며, 툴 챔버(tool chamber)내에서 및 웨이퍼상에서 입자를 오염시키는 잠정적인 원인이 될 수 있다. 그러나 막껍질을 화학적으로 제거하기 위해 원자 종에만 의존하는 저온 프로세스는 본래 비효율적이며, 애셔의 처리율(단위 시간당 프로세싱되는 웨이퍼의 수에 의해 측정됨)을 절충한다.
저온에서 탄화된 막껍질의 애싱율(ash rate)을 향상시키는 공지된 방법은 이온 충돌을 이용한다. 애셔의 통상적인 이온원(ion source)은 연마정반(platen)(또는 정전 척(electrostatic chuck))을 사용하며, 이것은 보편적으로 RF 소스에 의해 무선 주파수(RF)에서 바이어스된다. 일단 활성화되면, RF 소스가 웨이퍼 위에 용량성 방전을 생성한다. 다음으로, 이러한 2차 방전이 웨이퍼 바로 위에 이온-전자 쌍을 생성하며, 그 다음 이것으로부터 이온이 웨이퍼 표면위에 생성된 용량성 쉬스(Asheath@)에 의해 가속화된다. 용량성 쉬스(sheath)가 40-50 eV 이상의 높은 전계 전위(electric field potential)를 가질 수도 있으므로, 이온은 상기와 같은 높은 에너지로 웨이퍼에 충돌할 수도 있다. 그러나 이러한 높은 에너지의 이온 충돌은 웨이퍼상에 형성되는 소자에 큰 손상을 일으킬 수 있다. 또한, 대량의 이온 충돌로 인한 광범위한 가열은 웨이퍼간 동작들 사이에 일정하지않은 웨이퍼 온도를 야기할 수도 있다.
상기 논의된 바와 같은 종래기술의 결점 및 결함은 반도체 웨이퍼의 플라즈마 프로세싱에서 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법에 의해 극복되거나 또는 완화된다. 본 발명의 예시적인 실시예에 있어서, 상기 방법은 기체 종으로부터 플라즈마를 생성하여 플라즈마 배기가스를 발생시키는 단계를 포함한다. 다음으로, 플라즈마 배기가스는 웨이퍼를 수용하는 프로세싱 챔버내로 도입된다. 플라즈마 배기가스의 이온 함량은 플라즈마가 프로세싱 챔버내로 도입됨으로써 내부에 1차 플라즈마 방전을 생성할 때, 추가 이온원을 활성화시킴으로써 향상된다. 다음으로, 1차 플라즈마 방전이 조절판 어셈블리(baffle plate assembly)로 지향되는데, 여기서 플라즈마가 조절판 어셈블리를 빠져나감에 따라 2차 플라즈마 방전이 생성된다. 2차 플라즈마 방전에 포함된 이온상에 가해지는 쉬스 퍼텐셜의 강도가 감소하는데, 상기 쉬스 퍼텐셜은 1차 플라즈마 방전의 결과로 발생한다. 상기 결과로 얻어진 감소된 전계 강도는 더 낮은 퍼텐셜을 통해 이온을 가속화시킴으로써, 웨이퍼상에 형성되는 반도체 소자에 손상을 야기하기에 충분하지 않은 에너지로 웨이퍼상에 이온 충돌을 일으킨다.
바람직한 실시예에 있어서, 2차 플라즈마 방전시 쉬스 퍼텐셜을 통한 이온의 가속화에 있어서의 감소는, 1차 플라즈마 방전과 웨이퍼 사이에 조절판 어셈블리가 배치되도록 추가 이온원을 위치시킴으로써 달성된다. 이 외에도, 조절판 어셈블리는 실제로 마이크로-제트 형성시에 2차 플라즈마 방전이 형성될 수 있도록 구성된다. 조절판 어셈블리는 상위 조절판과 하위 조절판을 포함하며, 상기 하위 조절판은 또한 이것을 관통하여 위치된 복수의 모따기된(chamfered) 홀을 구비하여, 웨이퍼의 표면상에 균일한 이온 충돌을 제공함으로써, 방전 효과가 웨이퍼를 손상시키는 것을 방지한다.
도 1은 포토레지스트 애셔의 프로세스 챔버에 사용될 수 있는 추가 이온 에너지생성기(energizer) 및 플라즈마 소스의 개략적인 단면도.
도 2는 라인 2-2을 지나는 도 1에 도시된 추가 이온 에너지생성기의 단면도.
도 3은 도 2의 추가 이온 에너지생성기의 선택적인 실시예의 단면도.
도 4는 RF 바이어스된 정전 척이 이온을 발생시키도록 활성화될 때 반도체 웨이퍼 바로 위에 생성되는 고-에너지의 용량성 쉬스 및 추가 이온원을 구비한 통상적인 플라즈마 애싱 시스템을 나타내는 도면.
도 5는 본 발명의 일 실시예에 따라, 반도체 웨이퍼로부터의 고-에너지 용량성 쉬스의 절연을 나타내는 도 1의 프로세스 챔버의 개략적인 단면도.
도 6은 본 발명의 일 실시예에 따른 조절판 어셈블리의 상부도면.
도 7은 라인 7-7을 지나는 도 6에 도시된 조절판 어셈블리의 측면 단면도.
도 8은 라인 8-8을 지나는 조절판 어셈블리의 하위 조절판의 상부 단면도.
도 9는 하위 조절판의 모따기된 홀에 대한 상세한 단면도.
도 10은 하위 조절판의 모따기된 홀을 통한 마이크로-제트, 저-에너지 이온 형성을 나타내는 개요도.
새로운 저-에너지 이온 생성 및 이송 메커니즘이 개시되어 있다. 이 메커니즘은, 높은 쉬스 전압으로 인한 고-에너지의 이온 충돌의 잠정적으로 해로운 효과에 웨이퍼를 노출하지않고, 이온-주입된 포토레지스트의 탄화된 상부층의 화학적 분해 및 후속 휘발을 균일한 방식으로 향상시킨다. 이러한 "유연한(soft)" 이온 보조 기술은 애시 툴내의 추가 이온원에 의해 발생된 이온과 이미 존재하는 화학 반응물 사이의 공동작용(synergy)을 이용함으로써, 상기 중 어느 하나 또는 상기 성분의 단순한 합에 의해 얻어질 수 있는 것보다 더 신속한 반응을 생성한다.
이온은 "물리적인" 운동 에너지는 물론 반응시 해제되는 "화학적인" 내부 에너지 두 가지 모두에 기여함으로써, 표면 반응에 대한 활성화 에너지를 효과적으로 낮춘다고 여겨진다. 웨이퍼를 통상적인 용량성 방전의 특성인 높은 쉬스 퍼텐셜로부터 보호함으로써, 이온은 웨이퍼에 형성된 쉬스 퍼텐셜을 통과할 때 상당히 더 약화된 전계를 받는다. 따라서, 이온은 웨이퍼 소자에 상당한 손상을 일으키기에 충분하지 않은 에너지로 웨이퍼에 충돌하지만, 주입된 포토레지스트상에 형성된 탄화된 막껍질을 제거하는 이온-보조 화학 프로세스를 여전히 인에이블한다. 또한, 이러한 방식은 동작하기 위한 웨이퍼 척을 필요로하지 않는 필요없는 시스템에 특히 적합하다.
이온 주입된 레지스트가 유연한 이온 충돌에 대한 응용의 일례로서 본원에 언급되어 있지만, 상기와 같은 이온 충돌의 다수의 다른 응용이 예상될 수 있다. 이것은, 에칭 후 잔류물의 제거, 이방성 레지스트 제거, 낮은-k 물질 존재시 포토레지스트의 선택적인 제거, 이방성 에칭 등을 포함하며, 그러나 이것으로 제한되지 는 않는다.
먼저 도 1을 참조하면, 본 발명의 일 실시예에 따라 포토레지스트 애셔(10)의 개요도가 도시되어 있다. 애셔(10)는 기체 박스(12), 마이크로파 전력 발생기 어셈블리(14), 웨이퍼(18)와 같은 반도체 기판 또는 워크피스(workpiece)가 가열되는 프로세스 챔버(16)와, 및 프로세스 챔버(16)의 아래에 위치한 (웨이퍼(18)를 가열하기 위한) 복사 가열기 어셈블리(20)를 포함한다. 열전대와 같은 온도 프로브(24)가 웨이퍼(18)의 온도를 감시하는데 사용된다. 진공 펌프(26)는 진공 조건을 필요로하는 프로세스를 위해 프로세스 챔버(16)를 비우는데 사용된다. 모노크로메이터 (monochromator)(28)는 프로세스 종료 결정을 돕기 위해 챔버내의 기체의 광학 방사 특성을 감시하는데 사용된다.
작동시, 원하는 기체 혼합물은 기체 박스(12)로부터 인입관(inlet conduit)(34)을 통해 플라즈마 튜브(32)로 도입된다. 플라즈마 튜브(32)는 석영 또는 사파이어와 같은 물질로 제조된다. 원하는 혼합물을 형성하는 기체는 개별적인 공급원(supplies)(도시되지 않음)에 저장되어 밸브(36) 및 배관(38)을 이용하여 기체 박스(12)에서 혼합된다. 원하는 기체 혼합물의 일례로는 산소를 가지거나 가지지 않은 질소기반 형성 기체(주로 적은 퍼센트의 수소를 가진 질소)가 있다. 부가적으로, 사불화탄소(carbon tetrafluoride)와 같은 플루오르 함유 기체가 소정의 프로세스에 대한 애싱율을 향상시키기 위해 기체 혼합물에 추가될 수도 있다. 이러한 경우, 플라즈마 튜브(32)에 대한 바람직한 물질은 사파이어이다. 사파이어는, 반응성 플루오르 원자와 이온이 플라즈마내에 존재함으로써, 플라즈마 튜브(32)의 내부면의 에칭에 영향을 받지 않는다. 플루오르 보조 스트리핑에 사파이어를 사용하는 것과 관련한 추가 세부사항은 Huffman 등에게 허여된 미합중국 특허 제6,082,374호에서 확인될 수 있으며, 그 내용이 본원에서 참조로 포함되어 있다.
원하는 기체 혼합물은 마이크로파 전력 발생기 어셈블리(14)에 의해 에너지공급되어, 복사 가열기 어셈블리(20)에 의해 가열될 때 프로세스 챔버(16)에서 웨이퍼(18)상의 포토레지스트를 애싱하게되는 반응성 플라즈마를 형성한다. 마그네트론(magnetron)(40)은 도파관(42)에 결합되는 마이크로파 에너지(약 2.45 GHz)를 발생시킨다. 다음으로, 마이크로파 에너지는 도파관으로부터, 플라즈마 튜브(32)를 둘러싸고 있는 마이크로파 인클로저(enclosure)(44)의 개구(도시되지 않음)를 통해 공급된다. 마이크로파 에너지에 대한 대안으로서, 원하는 기체 혼합물은 선행기술에 공지되어 있는 바와 같이 마그네트론(40) 대신 무선 주파수(RF) 전원(도시되지 않음)에 의해 에너지공급될 수도 있다.
도 1에 도시된 실시예에 있어서, 플라즈마 튜브(32)는 플루오르 플라즈마 화학작용을 수용하기 위해 알루미나(Al2O3) 또는 단결정 사파이어로 제조된다. 외부 석영 냉각 튜브(46)는 사파이어 플라즈마 튜브(32)를 둘러싸고 있으며 그것으로부터 약간 분리되어 있다. 가압된 공기가 튜브(32 와 46) 사이의 갭으로 공급되어, 작동중인 플라즈마 튜브(32)를 효과적으로 냉각시킨다. 마이크로파 인클로저(44)는 팬텀 선(phantom line)(45)으로 도시된 섹션으로 분할된다. 인클로저(44)의 분할은튜브(32)의 길이 전체에 걸쳐 균일한 마이크로파 전력 분배를 가능하게 하며, 적합 한 입력 전력이 제공될 때, 허용될 수 없을 정도로 큰 열 변화도가 그 축방향 길이를 따라 전개되는 것을 방지함으로써, 상기 튜브를 과열되는 것으로부터 보호한다. 석영과 달리, 사파이어는 균일하지않게 가열될 때 부서지는 경향이 있다. 따라서, 인클로저(44)의 각 세그먼트는 마이크로파 에너지를 개별적으로 공급받으며, 상기 마이크로파 에너지는 상기 인클로저 세그먼트를 통과하는 사파이어 플라즈마 튜브(32)와 석영 튜브(46)를 통해 전달된다. 상기 인클로저에 대한 추가 세부사항은 Kamarehi 등에게 허여된 미합중국 특허 제5,961,851호에서 확인될 수 있으며, 그 내용이 본원에서 참조로 포함되어 있다. 선택적으로, TM012 모드에서 공진하도록 주문 설계된 단일 공진 공동을 가진 마이크로파 어플리케이터(applicator)가 사용될 수도 있다. 상기 어플리케이터에 대한 추가 세부사항은 Srivastava 등에게 허여된 미합중국 특허 제6,057,645호에서 확인될 수 있으며, 그 내용이 본원에서 참조로 포함되어 있다.
플라즈마 튜브(32)에 사용되는 물질(석영 또는 사파이어) 또는 마이크로파 어플리케이터의 유형에 관계없이, 플라즈마 튜브(32)내의 기체 혼합물은 에너지공급되어 플라즈마를 생성한다. 마이크로파 트랩(48 및 50)이 마이크로파 인클로저(44)의 단부에 제공되어 마이크로파의 누설을 방지한다.
상기 설명된 바와 같이, 통상적인 다운스트림 애셔가 고의로 기판으로부터 플라즈마 업스트림을 발생시켜, 기판에 충돌하는 잔광(Aafterglow@) 플라즈마가 반응성 원자 종에서는 풍부하지만, 열악한 이온 농도를 갖는다. 따라서, 추가 이온원(74)이 웨이퍼의 표면에 충돌하는 상당한 이온 함량을 가진 기판에 더 근접한 다른 플라즈마를 발생시킨다. 상기 추가 이온원(74)은 마이크로파 전력 발생기 어셈블리(14)에 의해 발생된 플라즈마와 독립적으로 작동하여, 단일 프로세스의 개별적인 단계들에서 각기 다른 플라즈마 특성 조건(예컨대, 낮은 이온 함량 또는 높은 이온 함량)을 수용할 수 있다. 또한, 추가 이온원(74)이 임의의 유형의 업스트림 플라즈마 기반 애셔에 통합될 수 있다는 것을 이해할 것이다.
도 1에 도시된 실시예에 있어서, 추가 이온원(74)은 유전체 윈도우(52)와 플라즈마 튜브(32) 사이에 위치된 코일 안테나 어셈블리(76)의 형태로 제공된다. 선택적으로, 평면 안테나가 사용될 수도 있다. 애셔(10)의 유전체 윈도우(52)는 코일 안테나 어셈블리(76)에 의해 방출된 신호가 이것을 통과하여 프로세스 챔버(16)로 전달되도록 석영 또는 (세라믹 알루미나(Al2O3)와 같은) 유사한 유전 물질로 제조된다. 도 2에서 가장 잘 나타나있는 바와 같이, 코일 안테나 어셈블리(76)는 베이스(80)내에 구현되는 금속(예컨대, 동) 코일 안테나(78)를 갖는다. 베이스(80)는 폴리사불화에틸렌 (polytetrafluoroethylene)(PFTE)(통상적으로는 Teflon7 이라 함)과 같은 불소중합체 수지(fluoropolymer resin)로 제조되는 것이 바람직하다. 상기 Teflon7 은 E.I.du Pont de Nemours and Company의 등록 상표이다.
코일 안테나(78)는, 활성 플라즈마와 전혀 접촉하지 않아 그 결과 미립자 또는 스퍼터링(sputtered) 금속 오염 문제를 전혀 일으키지 않도록 프로세스 챔버(16)의 외부에 존재하는 것이 바람직하다. 또한, 일반적으로 평면 형상인 코일 안테나(78)는 웨이퍼(18) 전체(또는 실질적으로 전체)를 커버할 수 있을 정도로 충분히 크다.
안테나(78)는 RF 신호 발생기(82)로부터 출력된 RF 신호에 의해 에너지공급된다. 바람직한 실시예에 있어서, RF 신호는 13.56 메가헤르쯔(MHz)에서 동작한다. 그러나 동작 주파수는 ISM 대역내에 허용되는 임의의 무선 주파수일 수도 있다. RF 신호 발생기(87)와 안테나(78) 사이에는, 안테나(78)로부터 RF 발생기(82)로 역으로 반사되는 전력을 최소화하는 정합망(84)이 배치된다. RF 발생기(82)와 정합망(84) 사이는 물론 정합망(84)과 안테나(78) 사이의 연결은 동축 케이블 또는 도파관을 이용하여 이루어질 수 있다. 선택적으로, 자급식(self-contained) 주파수-동조 RF 발생기 및 증폭기와 같이, 코일을 에너지 공급하는 다른 메카니즘이 사용될 수도 있다.
도 3은 추가 이온원(74)의 선택적인 실시예를 도시하는 것으로서, 이것은 평판(plate) 안테나 어셈블리(90) 형태로 제공된다. 평판 안테나 어셈블리(90)는, 일반적으로 원형인 형상의 금속(예컨대, 동, 알루미늄) 평판 안테나(92)를 가지며, 플라즈마 튜브(32)와 정렬하기 위해 중심 개구(93)를 구비한다. 평판 안테나(이것은 또한 일반적으로 평면 형상임)(92) 또한 웨이퍼(18) 전체(또는 실질적으로 전체)를 커버할 정도로 충분히 크다. 안테나 어셈블리(90)에 의해 보충됨으로써 그 결과 얻어지는 플라즈마 방전은 주로 사실상 용량성인 반면, 안테나 어셈블리(76)에 의해 보충되는 플라즈마 방전은 부분적으로 유도성이다.
도 1이 추가 에너지생성기에 대해 RF 소스를 사용하는 것을 도시하고 있다 하더라도, 추가 이온 에너지생성기(74)에 대해 다른 에너지공급 소스(예컨대, 마이 크로파)를 사용할 수도 있다는 것을 이해할 것이다.
다시 도 1을 참조하면, 추가 이온원(74)을 통과한 후, 에너지공급된 플라즈마는 유전체 윈도우(52)의 개구(51)를 통해 프로세스 챔버(16)로 들어간다. 상위 조절판(54a)과 하위 조절판(54b)으로 이루어진 구멍뚫린(apertured) 이중-층 조절판 어셈블리(54)는 프로세싱되고 있는 웨이퍼(18)의 표면에 걸쳐 반응성 플라즈마를 균일하게 분산한다. 복사 가열기 어셈블리(20)는, 램프에 의해 발생된 열을 프로세스 챔버(16)내에서 석영 핀(68)상에 배치된 웨이퍼(18)의 이면(backside) 방향으로 반사하여 전환하는 반사기(64)내에 존재하는 복수의 텅스텐 할로겐 램프(58)를 포함한다. 웨이퍼(18)와 밀접하게 접촉되는 열전대(24)는 웨이퍼(18)의 활성 온도 제어가 유지될 수 있도록 램프(58)로 피드백 루프를 제공한다. 열전대와 같은 하나 이상의 온도 센서(72)가 유전체 윈도우(52)의 외부에 장착되어 챔버 온도를 표시한다.
보편적인 플라즈마 애셔(101)의 통상적인 추가 에너지생성기에 있어서, 반도체 웨이퍼(102)는 보편적으로 도 4에 도시된 바와 같이 척(104)상에 고정된다. 이온 충동이 필요하면, 척(104)이 보편적으로 소정의 RF 퍼텐셜(추가 이온 발생을 제공하기 위함)로 바이어스되어, 용량성 플라즈마가 웨이퍼(102) 바로 위에 생성된다. 다음으로, 웨이퍼(102)와 척(104)은 활성 용량성 쉬스(106)내에 싸인다. 상기 활성 쉬스(106)가 플라즈마를 웨이퍼에 대해 양의 퍼텐셜로 상승시킴으로써, (대부분 하나 이상의 손실된 전자를 가진 양으로 대전되는) 이온이 웨이퍼(102)의 표면으로 유도된다. 쉬스(106)의 퍼텐셜은, 이온을 높은 에너지로 가속화시킴으로써 웨이퍼(102)에 충격을 가하게하는 메카니즘이다.
대조적으로, 본 발명의 실시예에 의하면 웨이퍼는 고-에너지 쉬스의 형성으로부터 보호될 수 있다. 이 외에도, 조밀한 플라즈마가 웨이퍼 부근에 형성된다. 이제 도 5를 참조하면, 플라즈마 프로세싱 동안 생성되는 용량성 쉬스를 나타내는 프로세스 챔버(16)의 개략적인 단면도가 도시되어 있다. 석영 핀(68)(및 열전대)상에 장착되는 웨이퍼(18)는 RF 퍼텐셜에서 바이어스되지 않는다. 일단 마이크로파-에너지공급된 플라즈마(또는 마이크로파 플라즈마가 동작하지 않을 경우에는, 입력 기체)가 개구(51)를 통해 프로세스 챔버(16)에 들어가면, RF 안테나(74)는 관련 활성 쉬스(106)를 이용하여 활성 용량성(또는 유도성과 용량성의 결합) 방전을 가열한다. 그러나, 통상적인 프로세스 챔버와 달리, 상기 쉬스(106)(1차 RF 방전으로 인해 생성됨)는 웨이퍼(18)와 조절판 어셈블리(54)의 마주하는 측에 위치된다. 따라서, 웨이퍼(18)는 활성 쉬스(106)에 의해 가속화되는 고-에너지 이온에 영향을 받지않는다. 대신, 이온은 웨이퍼(18)로 확산되어, 웨이퍼(18)를 둘러싸고있는 플로팅 퍼텐셜(Afloating potential@) 쉬스(108)와 관련된 최대 에너지로 웨이퍼(18)에 충돌한다. 조절판 어셈블리(54)의 조절판들 사이에는 쉬스 (및 그 내부의 홀) 또는 플라즈마 어느 것도 형성되지 않는다는 것이 도 5에 도시되어 있음을 알아야 한다.
이제 도 6 내지 도 10을 참조하면, 본 발명의 다른 관점에 따라 세부적인 조절판 어셈블리(54)가 도시되어 있다. 다시 말하면, 조절판 어셈블리(54)는 석영 또는 세라믹과 같은 유전 물질로 제조된 상위 조절판(54a)을 포함한다. 상위 조절판(54a)은 또한 플루오르 관련 에칭에 견디도록 사파이어로 코팅될 수도 있다. 도 6의 상부도에 도시된 바와 같이, 상위 조절판(54a)은 그 중심에 배치된 충돌 디스크(110)를 구비한다. 충돌 디스크(110)는, 도 7에 도시된 바와 같이 1차 플라즈마 방전이 그것에 충돌하여 상위 조절판(54a)의 개구(112)를 통해 내부 플레넘(plenum)(113)내로 균일한 방식으로 흐르도록 한다. 조절판 어셈블리(54)는, 아노다이징된 알루미늄(anodized aluminum)과 같은 물질로 제조되는 것이 바람직한 하위 조절판(54b)을 더 포함하며, 안테나(78 또는 92)로의 반대 용량성 전극 역할을 하도록 접지된다. 하위 조절판(54b)은 또한 내부에 복수의 홀(114)을 가져, 이 홀을 통해 2차 플라즈마 방전이 빠져나간다. 하위 조절판(54b)의 홀(114)은 상위 조절판(54a)의 개구(112)와 수적으로 동일하며, 서로에 대해 공간상으로 정렬되는 것이 바람직하다.
도 8에 보다 상세히 도시되어 있는 바와 같이, 일련의 채널 또는 홈(116)이 하위 조절판(54b)을 통해 홀(114) 사이에 일반적으로 V-형상의 구성으로 형성되어 있다. 채널(116)은 물 또는 다른 냉각 물질을 순환시켜 조절판 어셈블리(54)에 대한 냉각 메카니즘을 제공한다. 채널(116)과 홀(114)은 임의의 채널(116)이 구멍뚫려 누설이 일어나는 것을 방지하도록 형성된다는 것을 알아야 한다.
이제 도 9를 참조하면, 하위 조절판 홀(114)의 세부적인 단면도가 도시되어 있다. 각 홀(114)은 하위 조절판(54b)의 내부면(118)(또는 플레넘 측)상의 제1 직경(d1)과 하위 조절판(54b)의 외부면(120)(또는 웨이퍼 측)상의 제2 직경(d2)을 갖는다. 제1 직경(d1)은 제2 직경(d2)보다 더 크다. 알 수 있는 바와 같이, 홀(114)의 플레넘 측은 일반적으로, 그 내부 직경이 d2가 될때 까지 90도 모따기에 의해 안으로 테이퍼링되는(taper) 사다리꼴(frustoconical) 형상이다. 이것은 하위 조절판(54b)의 두께를 통해 대략 중간쯤에 발생함으로써, 홀(114)은 사다리꼴 섹션(117)과 원통형 섹션(119) 두 가지 모두를 갖는 것을 특징으로 할 수 있다. 홀(114)의 원통형 섹션(119)은 도 9에서 d3로 표현된 높이를 갖는다.
마지막으로, 도 10은 하위 조절판(54b)의 모따기된 홀(114)을 통한 마이크로-제트, 저-에너지 이온 생성 영역을 도시하는 것이다. 조절판 위의 플레넘에서의 1차 플라즈마 방전 결과로, 로컬 쉬스(122)가 홀(114)에 생성됨으로써, 결과적으로 전계를 일으킨다. 1차 방전으로부터의 전자 및 이온이 홀(114)에 진입하여, 각 홀을 통해 하위 조절판(54b)의 외부면(120)으로 전류 경로(점선 화살표(123)로 표시된 바와 같음)를 생성한다. 전류선(화살표 123)이 홀(114)에 근접하여 집중됨에 따라, 전류 밀도가 증가하여, 홀에 더 조밀한 플라즈마를 형성함으로써, 좁은 플라즈마 쉬스를 가진 플라즈마 제트를 형성한다. 마이크로 제트의 증가된 플라즈마 밀도는 또한, 홀내의 중성입자(neutral)의 밀도를 감소시키는 중립 온도를 증가시킬 수도 있다. 이러한 효과를 조합하면, 전자 온도를 증가시키고, 마이크로-제트에서의 방전의 화학작용을 변경할 수도 있다. 이 외에도, 이온이 또한 쉬스에 의해 가속화되어 홀(114)의 내부면(124)에 충돌함으로써, 2차 전자를 배출한다. 높은 플라즈마 밀도와 관련된 더 좁은 쉬스는 충돌이 거의 없이 쉬스(122)를 가로질러 전자의 가속화를 허용함으로써, 마이크로-제트에 매우 강력한 전자가 생성되는 결과를 가져온다. 2차 전자는 중성 기체 분자와 충돌할 수 있도록 충분한 에너지를 얻음으로써, 이들을 이온화하여 홀을 통해 마이크로-제트 형상의 방전(126)을 생성한다.
접지된 조절판(54b)에서의 마이크로-제트의 형성은 더 넓은 면적의 전극 부근에서 플라즈마 밀도를 증가시키는 고유의 특성을 갖는다. 통상적인 용량성으로 결합된 방전에서, 플라즈마 밀도는, 전극이 좁을수록 전계가 더욱 높고 Rf 전류 밀도가 더 크기 때문에 더 좁은 전극에서 가장 높으며, 상기 더 좁은 전극은 웨이퍼가 존재하는 곳이다. 여기서 마이크로-제트 형성을 용이하게 하는 내부에 홀을 가진 전극으로서 구멍이난 하위 조절판(54b)을 사용하면, 더 넓은 면적의 전극에서 플라즈마 밀도와 전력 소모를 증가시킨다. 더 큰 밀도는 플라즈마 쉬스 두께를 감소시킴으로써, 쉬스를 가로지르는 용량을 증가시키고, 하위 조절판(54b)과 안테나(78 또는 92) 사이의 유효 면적비를 증가시킨다. 증가된 면적비는 조절판의 이온 충돌 에너지를 감소시키며, 이는 조절판의 스퍼터링 및 스퍼터링 제품과의 웨이퍼의 상응하는 오염을 최소화한다. 이와 동시에, 웨이퍼 부근의 하위 조절판(54b) 영역에서의 증가된 플라즈마 밀도 및 더 큰 전자 온도 모두 웨이퍼의 이온 충돌 유속을 증가시키고 고유의 플라즈마 화학작용을 일으킬 수 있다. 따라서, 표면 반응은, 마이크로-제트를 이용하지않고는 달리 발생할 수 없는 웨이퍼에서 가능하다. 상기와 같은 이온 충돌은 웨이퍼상의 대전 현상이 완화되도록 하는 일정한 방식으로 발생한다.
마이크로-제트 방전(126)은 결국 웨이퍼에 충돌하는 1차 이온원이다. 웨이퍼가 그 플로팅 퍼텐셜에서(및 통상적인 애셔에서와 같이 2차 방전의 RF 용량성-전극 쉬스 퍼텐셜의 것이 아님) 바이어스되므로, 이온은 손상을 야기하기에 충분하지않은 에너지로 웨이퍼에 충돌한다. 이 외에도, 홀(114)의 낮은 종횡비(직경(d2)을 높이(d3)로 나눔)가 마이크로-제트 방전을 향상시킨다는 것이 또한 실험상으로 판정되었다. 그러나 홀(114)의 높이(즉, 하위 조절판(54b)의 두께)가 너무 작게 제조된다면, 하위 조절판의 냉각하는 물에 대한 용량이 없어진다. 반면, 홀의 직경이 종횡비를 낮출 정도로 너무 넓게 제조된다면, 플라즈마 방전을 균일하게 분산시키는데 있어서의 조절판의 효율성이 감소된다. 따라서, 본 발명 실시예(들)의 홀(114)은, 효과적인 조절판 냉각을 여전히 허용하면서, 이온이 풍부한 플라즈마를 효과적으로 생성하고 홀을 통해 웨이퍼까지 이송하기 위해 더 낮은 종횡비를 제공하도록 형성된다.
내부에 신뢰성있는 마이크로-제트를 발생시키는데 필요한 홀(114)의 크기 및 종횡비는 플라즈마 전력, 압력, 기체 조성 등과 같은 파라미터를 포함하는 프로세스 조건의 함수이다. 이러한 프로세스에서, 홀(114)내의 마이크로-제트의 "조명(lighting)"은 균일한 프로세싱을 달성하는데 필요하다. 상기 기재된 프로세스는 균일하고 신뢰성있는 마이크로-제트 조명을 달성하여 상기와 같은 균일한 프로세싱을 발생시킨다. 이것은 에칭 터널과 같은 다른 응용과 상이한데, 이 경우, 웨이퍼가 최소의 이온 충돌로 프로세싱될 수 있는 터널내에 "필드-프리 영역(field-free region)"을 생성하는데 있어서 마이크로-제트를 신뢰성있게 형성하지 않는 더 작은 홀을 가진 구멍이난 플레이트가 사용된다. 마찬가지로, 본 발명 실시예는 다른 종래 기술과 상이한데, 이 경우, 마이크로-제트의 형성을 이용하지 않고 플라즈마가 플레이트내의 홀을 통과하게 되는 간단한 용량성 전극을 제조하는데 더 큰 홀을 가진 구멍이난 플레이트가 사용된다.
본 발명이 바람직한 실시예와 관련하여 기술되었지만, 당업자들이라면 본 발명 범위를 벗어나지 않고 다양한 변경이 이루어질 수 있으며, 상응하는 것들이 그 요소를 대신할 수도 있다는 것을 이해할 것이다. 이 외에도, 본 발명의 본질적인 범위에서 벗어나지 않고 본 발명의 개시에 맞게 소정의 상황 또는 물질을 조정하도록 다양한 변형이 이루어질 수도 있다. 따라서, 본 발명은 본 발명을 수행하기 위한 최적의 모드로서 개시된 소정의 실시예로 제한되지 않고, 첨부된 특허청구범위의 범위내에서 이루어지는 모든 실시예를 포함하게 된다.

Claims (39)

  1. 워크피스의 플라즈마 프로세싱용 시스템에 있어서:
    기체를 플라즈마로 여기시키는 전력 발생기 어셈블리;
    내부에 배치된 워크피스를 프로세싱하는 프로세스 챔버;
    플라즈마 배기가스를 플라즈마 튜브로부터 상기 프로세스 챔버로 전달하는 플라즈마 튜브;
    활성화될 때 상기 플라즈마 배기가스의 이온 함량을 향상시키며, 상기 프로세스 챔버에 근접하게 위치된 추가 이온원;
    플라즈마 프로세싱 시스템용 조절판 어셈블리로서,
    관통하여 형성되는 제1의 복수의 홀을 가진 상위 조절판;
    내부 플레넘에 의해 상기 상위 조절판과 분리되며, 관통하여 형성되는 제2의 복수의 홀을 가지는 하위 조절판을 포함하며,
    상기 제2 복수의 홀은 각각 그 한 단부에 제1 직경을 갖고 그 맞은편 단부에 제2 직경을 갖는데, 상기 제1 직경이 상기 제2 직경보다 큰, 조절판 어셈블리; 및
    상기 추가 이온원의 활성화에 의해 생성된 쉬스에서 전계 전위로부터 워크피스를 차폐시키는 절연 수단을 포함하는 플라즈마 프로세싱용 시스템.
  2. 제 1 항에 있어서,
    상기 조절판에서, 상기 제2의 복수의 홀은 상기 제1 직경에서 시작하여 상기 제2 직경으로 안으로 테이퍼링하는, 상기 하위 조절판내에 안으로 테이퍼링하는 내부면을 정하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  3. 제 2 항에 있어서,
    상기 조절판에서, 상기 제2의 복수의 홀은 사다리꼴 섹션과 원통형 섹션을 포함하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  4. 제 1 항에 있어서,
    상기 하위 조절판을 통하여 진행되는 복수의 채널을 더 포함하며, 상기 복수의 채널은 관통하여 순환되는 액체 냉각제를 포함할 수 있는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  5. 제 1 항에 있어서,
    상기 상위 조절판은 석영, 사파이어, 세라믹, 또는 사파이어-코팅된 석영 중 하나를 포함하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  6. 제 1 항에 있어서,
    상기 하위 조절판은 전도성 물질로 이루어지는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  7. 제 6 항에 있어서,
    상기 전도성 물질은 아노다이징된 알루미늄이며, 상기 하위 조절판은 접지되는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  8. 제 1 항에 있어서,
    상기 조절판에서, 상기 제1의 복수의 홀과 상기 제2의 복수의 홀이 서로 정렬되는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  9. 제 1 항에 있어서,
    상기 조절판은 상기 상위 조절판 위에 배치되는 충돌 디스크를 더 포함하며, 상기 충돌 디스크는 플라즈마 방전이 충돌 디스크에 충돌하여 상기 제1의 복수의 홀을 통해 지향되도록 하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  10. 제 4 항에 있어서,
    상기 복수의 채널은 상기 하위 조절판을 통해 일반적으로 V-형상의 구성으로 진행되는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  11. 삭제
  12. 워크피스의 플라즈마 프로세싱용 시스템에 있어서:
    기체를 이온을 포함하는 플라즈마로 여기시키는 전력 발생기;
    내부에 배치된 워크피스를 프로세싱하는 프로세스 챔버;
    플라즈마 배기가스를 플라즈마 튜브로부터 상기 프로세스 챔버로 전달하는 플라즈마 튜브;
    상기 플라즈마 배기가스의 이온 함량을 향상시키는 추가 이온원으로서, RF 신호를 상기 플라즈마 배기가스에 전달하고, 상기 프로세스 챔버에 근접하지만, 상기 프로세스 챔버의 외부에 위치되는 RF 안테나 및 상기 프로세스 챔버로부터 상기 RF 안테나를 분리시키는 유전체 윈도우를 포함하는 추가 이온원; 및
    저에너지로 상기 워크피스를 향하여 이온을 가속시키면서, 상기 RF 신호에 의해 생성된 전계 전위들로부터 워크피스를 차폐시키는 두 개 이상의 조절판을 포함하는 조절판 어셈블리를 포함하는, 플라즈마 프로세싱용 시스템.
  13. 제 12 항에 있어서,
    상기 조절판 어셈블리의 상기 조절판들 중 하나가 전기적으로 접지되는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  14. 제 12 항에 있어서,
    상기 조절판 어셈블리가 두 개 이상의 조절판을 포함하고, 이들 중 하나가 전기적으로 접지되는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  15. 제 14 항에 있어서,
    상기 전기적으로 접지된 조절판이 유전 코팅을 포함하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  16. 반도체 웨이퍼의 플라즈마 프로세싱에서 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법에 있어서:
    웨이퍼를 수용하는 프로세싱 챔버로 도입하기 위한 플라즈마 배기가스를 발생시키기 위해 기체 종으로부터 플라즈마를 생성하는 단계;
    상기 플라즈마가 상기 프로세싱 챔버내로 도입될 때, 추가 이온원을 활성화시켜서 상기 플라즈마 배기가스의 이온 함량을 향상시킴으로써, 내부에 1차 플라즈마 방전을 생성하는 단계;
    상기 1차 플라즈마 방전을 조절판 어셈블리내로 지향시킴으로써, 상기 조절판 어셈블리를 빠져나가는 2차 플라즈마 방전을 생성하는 단계; 및
    상기 2차 플라즈마 방전에 포함된 이온에 가해지는 전계의 강도를 감소시키는 단계를 포함하며, 상기 전계는 상기 추가 이온원을 활성화로부터 발생되며,
    상기 2차 플라즈마에 포함된 이온에 가해지는 전계의 강도를 상기 감소시키는 단계는 상기 이온이 웨이퍼에 형성된 반도체 소자에 손상을 야기하기에는 충분하지 않은 에너지로 웨이퍼에 충돌하도록 하는, 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  17. 제 16 항에 있어서,
    상기 1차 플라즈마 방전이 상기 생성된 1차 플라즈마 방전에 포함된 이온에 가해지는 전계의 강도를 감소시키는 상기 조절판 어셈블리내로 지향되는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  18. 제 16 항에 있어서,
    상기 2차 플라즈마 방전에 포함된 이온에 가해지는 전계의 강도를 감소시키는 단계는 상기 1차 플라즈마 방전과 웨이퍼 사이에 상기 조절판 어셈블리가 배치되도록 상기 추가 이온원을 위치시키는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  19. 제 18 항에 있어서,
    상기 프로세싱 챔버내에 배치된 핀상에 반도체 웨이퍼를 장착하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  20. 제 16 항에 있어서,
    상기 2차 플라즈마 방전이 실질적으로 마이크로-제트 형태로 형성되도록 상기 조절판 어셈블리를 구성하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  21. 제 20 항에 있어서,
    상기 조절판 어셈블리는 상위 조절판과 하위 조절판을 포함하며, 상기 하위 조절판은 관통하여 위치된 복수의 모따기된(chamfered) 홀을 더 구비하는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  22. 제 21 항에 있어서,
    상기 하위 조절판내의 상기 모따기된 홀은 사다리꼴 섹션과 원통형 섹션을 포함하는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  23. 제 21 항에 있어서,
    상기 상위 및 하위 조절판은 상기 1차 플라즈마 방전에 의해 생성되는 고-에너지의 용량성 쉬스로부터 웨이퍼를 절연시키는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  24. 제 21 항에 있어서,
    상기 복수의 모따기된 홀은 상기 상위 조절판내의 복수의 홀과 정렬되는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  25. 제 21 항에 있어서,
    저-에너지 이온이 반도체 웨이퍼로 균일하게 이송되게 하는 방식으로, 상기 추가 이온원으로부터 상기 하위 조절판내의 상기 모따기된 복수의 홀을 통해 마이크로-제트를 생성하는 단계를 더 포함하는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  26. 제 21 항에 있어서,
    상기 상위 조절판은 석영, 사파이어, 세라믹, 또는 사파이어-코팅된 석영 중 하나를 포함하는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  27. 제 21 항에 있어서,
    상기 하위 조절판은 전도성 물질로 이루어지는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  28. 제 27 항에 있어서,
    상기 전도성 물질은 아노다이징된 알루미늄이며, 상기 하위 조절판은 접지되는 것을 특징으로 하는 플라즈마 프로세싱에 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법.
  29. 반도체 웨이퍼의 플라즈마 프로세싱에서 사용하기 위한 저-에너지 이온을 생성하고 이송하는 방법에 있어서:
    웨이퍼를 수용하는 프로세싱 챔버로 도입하기 위한 1차 플라즈마 배기가스를 발생시키기 위해 기체 종으로부터 플라즈마를 생성하는 단계;
    상기 1차 플라즈마 배기가스가 상기 프로세싱 챔버내로 도입될 때 상기 1차 플라즈마 배기가스내의 이온에 작용하는 전계를 발생시키기 위하여 추가 이온원을 활성화시키켜서 향상된 1차 플라즈마 방전을 생성하는 단계; 및
    상기 향상된 1차 플라즈마 방전에 포함된 이온에 가해지는 전계의 강도를 감소시키기 위해서 상기 향상된 1차 플라즈마 방전을 조절판 어셈블리내로 지향시킴으로써, 상기 조절판 어셈블리를 빠져나가는 2차 플라즈마 방전을 생성하는 단계를 포함하며, 상기 2차 플라즈마는 상기 이온이 웨이퍼 상에 형성되는 반도체 소자에 손상을 야기하기에 충분하지 않은 에너지로 웨이퍼에 충돌하도록 하는, 반도체 웨이퍼의 플라즈마 프로세싱에 사용하는 저-에너지 이온을 생성하고 이송하는 방법.
  30. 워크피스의 플라즈마 프로세싱용 시스템에 있어서:
    기체를 플라즈마로 여기시키는 전력 발생기 어셈블리;
    내부에 배치된 워크피스를 프로세싱하는 프로세스 챔버;
    플라즈마 배기가스를 플라즈마 튜브로부터 상기 프로세스 챔버로 전달하는 플라즈마 튜브;
    상기 프로세스 챔버에 근접하게 위치되며, 제 1 밀도 및 제 1 에너지로 이온의 1차 방전을 생성하도록 RF 신호를 상기 플라즈마 배기가스에 전달하고 상기 프로세스 챔버에 근접하고 상기 프로세스 챔버 외부에 배치되는 RF 안테나 및 상기 프로세스 챔버로부터 상기 RF 안테나를 분리시키는 유전체 윈도우를 포함하는 추가 이온원; 및
    상기 1차 방전에 의해 생성된 전계 전위로부터 상기 워크피스를 차폐시키고, 제 2 밀도 및 제 2 에너지로 이온의 2차 방전을 생성하도록 구성되는 조절판 어셈블리를 포함하고, 상기 제 2 밀도는 상기 제 1 밀도보다 더 크고 상기 제 2 에너지는 상기 제 1 에너지보다 더 작으며,
    상기 추가 이온원은 부가적으로 자신의 활성화에 의해 생성되는 쉬스에서 전계 전위로부터 워크피스를 차폐시키도록 구성되는, 플라즈마 프로세싱용 시스템.
  31. 제 30 항에 있어서,
    상기 조절판 어셈블리는 1차 플라즈마 방전 및 상기 워크피스 사이에 삽입되는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  32. 제 31 항에 있어서,
    상기 워크피스는 상기 프로세스 챔버내에 위치된 핀상에 장착되는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  33. 제 30 항에 있어서,
    상기 조절판 어셈블리는:
    관통하여 형성되는 제1의 복수의 홀을 가진 상위 조절판, 및
    관통하여 형성되는 제2의 복수의 홀을 가지며, 내부 플레넘에 의해 상기 상위 조절판과 분리되는 하위 조절판을 더 포함하며,
    상기 제2의 복수의 홀은 각각 그 한 단부에 제1 직경을 갖고 그 맞은편 단부에 제2 직경을 갖는데, 상기 제1 직경이 상기 제2 직경보다 큰 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  34. 제 33 항에 있어서,
    상기 제2의 복수의 홀은 상기 제1 직경에서 시작하여 상기 제2 직경으로 안으로 테이퍼링하는, 상기 하위 조절판내의 안으로 테이퍼링하는 내부면을 정하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  35. 제 34 항에 있어서,
    상기 제2의 복수의 홀은 사다리꼴 섹션과 원통형 섹션을 포함하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  36. 제 33 항에 있어서,
    상기 하위 조절판을 통하여 진행되는 복수의 채널을 더 포함하며, 상기 복수의 채널은 관통하여 순환되는 액체 냉각제를 포함할 수 있는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  37. 제 33 항에 있어서,
    상기 상위 조절판은 석영, 사파이어, 세라믹, 또는 사파이어-코팅된 석영 중 하나를 포함하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  38. 제 33 항에 있어서,
    상기 하위 조절판은 아노다이징된 알루미늄으로 이루어지는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
  39. 제 33 항에 있어서,
    상기 상위 조절판 위에 배치되는 충돌 디스크를 더 포함하며, 상기 충돌 디스크는 플라즈마 방전이 충돌 디스크에 충돌하여 상기 제1의 복수의 홀을 통해 지향되도록 하는 것을 특징으로 하는 플라즈마 프로세싱용 시스템.
KR1020037017255A 2001-07-13 2002-07-12 플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치 KR100971559B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/905,043 US6761796B2 (en) 2001-04-06 2001-07-13 Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US09/905,043 2001-07-13
PCT/US2002/023232 WO2003007326A2 (en) 2001-07-13 2002-07-12 Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing

Publications (2)

Publication Number Publication Date
KR20040021621A KR20040021621A (ko) 2004-03-10
KR100971559B1 true KR100971559B1 (ko) 2010-07-20

Family

ID=25420214

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037017255A KR100971559B1 (ko) 2001-07-13 2002-07-12 플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치

Country Status (9)

Country Link
US (2) US6761796B2 (ko)
EP (1) EP1410418B1 (ko)
JP (1) JP5041114B2 (ko)
KR (1) KR100971559B1 (ko)
CN (1) CN100474495C (ko)
AU (1) AU2002313697A1 (ko)
DE (1) DE60235813D1 (ko)
TW (1) TW559988B (ko)
WO (1) WO2003007326A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101841315B1 (ko) * 2010-11-03 2018-03-22 램 리써치 코포레이션 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7695690B2 (en) 1998-11-05 2010-04-13 Tessera, Inc. Air treatment apparatus having multiple downstream electrodes
US6176977B1 (en) 1998-11-05 2001-01-23 Sharper Image Corporation Electro-kinetic air transporter-conditioner
US20050210902A1 (en) 2004-02-18 2005-09-29 Sharper Image Corporation Electro-kinetic air transporter and/or conditioner devices with features for cleaning emitter electrodes
US20030206837A1 (en) 1998-11-05 2003-11-06 Taylor Charles E. Electro-kinetic air transporter and conditioner device with enhanced maintenance features and enhanced anti-microorganism capability
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US20040118348A1 (en) * 2002-03-07 2004-06-24 Mills Randell L.. Microwave power cell, chemical reactor, and power converter
JP4175021B2 (ja) * 2002-05-01 2008-11-05 株式会社島津製作所 高周波誘導結合プラズマ生成装置およびプラズマ処理装置
US20050212626A1 (en) * 2002-05-07 2005-09-29 Toshiyuki Takamatsu High frequency reaction processing system
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7176469B2 (en) * 2002-05-22 2007-02-13 The Regents Of The University Of California Negative ion source with external RF antenna
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7906080B1 (en) 2003-09-05 2011-03-15 Sharper Image Acquisition Llc Air treatment apparatus having a liquid holder and a bipolar ionization device
US7724492B2 (en) 2003-09-05 2010-05-25 Tessera, Inc. Emitter electrode having a strip shape
KR100450643B1 (ko) * 2003-09-26 2004-10-01 코닉시스템 주식회사 플라즈마 급속열처리 장치
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7767169B2 (en) 2003-12-11 2010-08-03 Sharper Image Acquisition Llc Electro-kinetic air transporter-conditioner system and method to oxidize volatile organic compounds
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060016333A1 (en) 2004-07-23 2006-01-26 Sharper Image Corporation Air conditioner device with removable driver electrodes
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7840272B2 (en) 2005-06-03 2010-11-23 Medrelief Inc. Methods for modulating osteochondral development using bioelectrical stimulation
JP2007042958A (ja) * 2005-08-05 2007-02-15 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
CN100482585C (zh) * 2005-10-24 2009-04-29 鸿富锦精密工业(深圳)有限公司 碳纳米管制备装置
US7833322B2 (en) 2006-02-28 2010-11-16 Sharper Image Acquisition Llc Air treatment apparatus having a voltage control device responsive to current sensing
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
KR100835355B1 (ko) * 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
US20080066866A1 (en) * 2006-09-14 2008-03-20 Martin Kerber Method and apparatus for reducing plasma-induced damage in a semiconductor device
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
KR101046335B1 (ko) * 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102763198B (zh) * 2009-09-25 2015-05-06 应用材料公司 感应耦合等离子体反应器中的高效气体离解的方法和设备
US9252069B2 (en) * 2010-08-31 2016-02-02 Teledyne Scientific & Imaging, Llc High power module cooling system
CN107731648B (zh) * 2010-10-28 2020-02-14 应用材料公司 高纯度铝涂层硬阳极化
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9095038B2 (en) * 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
US20140000810A1 (en) * 2011-12-29 2014-01-02 Mark A. Franklin Plasma Activation System
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104103485B (zh) * 2013-04-15 2016-09-07 中微半导体设备(上海)有限公司 电感耦合等离子体装置
US9484214B2 (en) * 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
US9653266B2 (en) * 2014-03-27 2017-05-16 Mks Instruments, Inc. Microwave plasma applicator with improved power uniformity
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11022383B2 (en) 2016-06-16 2021-06-01 Teledyne Scientific & Imaging, Llc Interface-free thermal management system for high power devices co-fabricated with electronic circuit
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI721216B (zh) 2016-10-13 2021-03-11 美商應用材料股份有限公司 用於電漿處理裝置中的腔室部件、包含其之裝置及製造其之方法
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US9767992B1 (en) * 2017-02-09 2017-09-19 Lyten, Inc. Microwave chemical processing reactor
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109390197B (zh) * 2017-08-08 2023-04-14 北京北方华创微电子装备有限公司 预清洗腔室和半导体加工设备
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
CN107887249B (zh) * 2017-11-14 2019-06-04 珠海宝丰堂电子科技有限公司 等离子蚀刻装置及其放电腔体
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
GB2588012B (en) * 2018-06-12 2023-03-22 Agilent Technologies Inc ICP spectroscopy torch with removable one-piece injector
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN110047724B (zh) * 2019-04-22 2021-07-27 江苏鲁汶仪器有限公司 一种离子束刻蚀用双层挡板
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center
CN114231936A (zh) * 2021-11-09 2022-03-25 中山市博顿光电科技有限公司 防污染装置、电离腔体及射频离子源

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100224461B1 (ko) * 1995-04-20 1999-10-15 히가시 데쓰로 샤워헤드 및 이를 이용한 성막장치

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6015931A (ja) * 1983-07-07 1985-01-26 Fujitsu Ltd 反応性イオンエツチング方法
JPS62108525A (ja) * 1985-11-06 1987-05-19 Hitachi Ltd 表面処理方法およびその装置
JPH01272769A (ja) * 1987-12-30 1989-10-31 Texas Instr Japan Ltd プラズマ発生装置
US4950376A (en) * 1988-06-21 1990-08-21 Agency Of Industrial Science & Technology Method of gas reaction process control
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4960540A (en) * 1989-08-24 1990-10-02 Friel Jr Thomas C Alkoxylated bis-amide defoaming compounds
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
JPH06252097A (ja) * 1993-02-25 1994-09-09 Hitachi Ltd プラズマエッチング装置
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
JPH09219391A (ja) * 1996-02-08 1997-08-19 Hitachi Ltd レジスト膜の除去方法及び装置
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6082374A (en) 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
JP3393399B2 (ja) * 1996-09-24 2003-04-07 アクセリス テクノロジーズ インコーポレーテッド アッシング方法
US5980638A (en) 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6057645A (en) * 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
EP1055249A1 (en) * 1998-02-09 2000-11-29 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6093281A (en) 1998-02-26 2000-07-25 International Business Machines Corp. Baffle plate design for decreasing conductance lost during precipitation of polymer precursors in plasma etching chambers
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
EP1268165B1 (en) * 2000-03-24 2004-10-06 GENERIS GmbH Method and apparatus for manufacturing a structural part by a multi-layer deposition technique, and mold or core as manufactured by the method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100224461B1 (ko) * 1995-04-20 1999-10-15 히가시 데쓰로 샤워헤드 및 이를 이용한 성막장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101841315B1 (ko) * 2010-11-03 2018-03-22 램 리써치 코포레이션 플라즈마 에칭 프로세스를 위한 급속하고 균일한 가스 스위칭

Also Published As

Publication number Publication date
US20020144785A1 (en) 2002-10-10
JP5041114B2 (ja) 2012-10-03
US7037846B2 (en) 2006-05-02
DE60235813D1 (de) 2010-05-12
US20040140053A1 (en) 2004-07-22
EP1410418B1 (en) 2010-03-31
EP1410418A2 (en) 2004-04-21
JP2004535672A (ja) 2004-11-25
US6761796B2 (en) 2004-07-13
WO2003007326A2 (en) 2003-01-23
CN100474495C (zh) 2009-04-01
AU2002313697A1 (en) 2003-01-29
TW559988B (en) 2003-11-01
WO2003007326A3 (en) 2003-12-11
KR20040021621A (ko) 2004-03-10
CN1554106A (zh) 2004-12-08

Similar Documents

Publication Publication Date Title
KR100971559B1 (ko) 플라즈마 프로세싱에서 마이크로-제트 인에이블되는 저 에너지 이온 생성 및 이송을 위한 방법과 장치
JP7187500B2 (ja) 自己共振装置を備えたプラズマ点火装置および方法
JP3642809B2 (ja) 低圧誘導結合プラズマ点火装置
US6029602A (en) Apparatus and method for efficient and compact remote microwave plasma generation
US4877509A (en) Semiconductor wafer treating apparatus utilizing a plasma
KR940000384B1 (ko) 프라즈마 처리장치
EP1758149A1 (en) Microwave plasma generating apparatus
EP0670587B1 (en) Plasma asher with microwave trap
US4806829A (en) Apparatus utilizing charged particles
KR20020043446A (ko) 플라즈마 프로세스 장치
JPH02224236A (ja) 集積回路デバイスを製造するための装置
US6908530B2 (en) Microwave plasma processing apparatus
JPH11507476A (ja) 方位方向及び軸方向に一様な電界を使用するプラズマ装置及び方法
JPH0963793A (ja) プラズマ処理装置
US10083820B2 (en) Dual-frequency surface wave plasma source
JP3254069B2 (ja) プラズマ装置
JP3150056B2 (ja) プラズマ処理装置
US20010025607A1 (en) Microwave plasma reactor and method
WO1999012184A2 (en) Microwave power applicator for generating reactive chemical species from gaseous reagent species
JP3774965B2 (ja) プラズマ処理装置
JPH01184922A (ja) エッチング、アッシング及び成膜等に有用なプラズマ処理装置
JP2001044175A (ja) プラズマ処理装置
TW533752B (en) Plasma source having supplemental energizer for ion enhancement
JP3981240B2 (ja) マイクロ波プラズマ発生装置及び方法
JP2001326216A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130626

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150625

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160630

Year of fee payment: 7