DE60235813D1 - Verfahren und vorrichtung für mikrojet aktivierte, niederenergetische ionen-erzeugung und -transport in der plasmabehandlung - Google Patents

Verfahren und vorrichtung für mikrojet aktivierte, niederenergetische ionen-erzeugung und -transport in der plasmabehandlung

Info

Publication number
DE60235813D1
DE60235813D1 DE60235813T DE60235813T DE60235813D1 DE 60235813 D1 DE60235813 D1 DE 60235813D1 DE 60235813 T DE60235813 T DE 60235813T DE 60235813 T DE60235813 T DE 60235813T DE 60235813 D1 DE60235813 D1 DE 60235813D1
Authority
DE
Germany
Prior art keywords
nerenergetic
microjet
activated
transport
plasma treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60235813T
Other languages
English (en)
Inventor
Aseem Srivastava
Palani Sakthivel
Herbert Sawin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Application granted granted Critical
Publication of DE60235813D1 publication Critical patent/DE60235813D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
DE60235813T 2001-07-13 2002-07-12 Verfahren und vorrichtung für mikrojet aktivierte, niederenergetische ionen-erzeugung und -transport in der plasmabehandlung Expired - Lifetime DE60235813D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/905,043 US6761796B2 (en) 2001-04-06 2001-07-13 Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
PCT/US2002/023232 WO2003007326A2 (en) 2001-07-13 2002-07-12 Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing

Publications (1)

Publication Number Publication Date
DE60235813D1 true DE60235813D1 (de) 2010-05-12

Family

ID=25420214

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60235813T Expired - Lifetime DE60235813D1 (de) 2001-07-13 2002-07-12 Verfahren und vorrichtung für mikrojet aktivierte, niederenergetische ionen-erzeugung und -transport in der plasmabehandlung

Country Status (9)

Country Link
US (2) US6761796B2 (de)
EP (1) EP1410418B1 (de)
JP (1) JP5041114B2 (de)
KR (1) KR100971559B1 (de)
CN (1) CN100474495C (de)
AU (1) AU2002313697A1 (de)
DE (1) DE60235813D1 (de)
TW (1) TW559988B (de)
WO (1) WO2003007326A2 (de)

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030206837A1 (en) 1998-11-05 2003-11-06 Taylor Charles E. Electro-kinetic air transporter and conditioner device with enhanced maintenance features and enhanced anti-microorganism capability
US6176977B1 (en) 1998-11-05 2001-01-23 Sharper Image Corporation Electro-kinetic air transporter-conditioner
US20050210902A1 (en) 2004-02-18 2005-09-29 Sharper Image Corporation Electro-kinetic air transporter and/or conditioner devices with features for cleaning emitter electrodes
US7695690B2 (en) 1998-11-05 2010-04-13 Tessera, Inc. Air treatment apparatus having multiple downstream electrodes
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
KR100979575B1 (ko) * 2002-01-17 2010-09-01 썬듀 테크놀로지스 엘엘씨 원자층 침착 장치 및 이의 제조방법
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US20040118348A1 (en) * 2002-03-07 2004-06-24 Mills Randell L.. Microwave power cell, chemical reactor, and power converter
JP4175021B2 (ja) * 2002-05-01 2008-11-05 株式会社島津製作所 高周波誘導結合プラズマ生成装置およびプラズマ処理装置
US20050212626A1 (en) * 2002-05-07 2005-09-29 Toshiyuki Takamatsu High frequency reaction processing system
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7176469B2 (en) * 2002-05-22 2007-02-13 The Regents Of The University Of California Negative ion source with external RF antenna
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7906080B1 (en) 2003-09-05 2011-03-15 Sharper Image Acquisition Llc Air treatment apparatus having a liquid holder and a bipolar ionization device
US7724492B2 (en) 2003-09-05 2010-05-25 Tessera, Inc. Emitter electrode having a strip shape
KR100450643B1 (ko) * 2003-09-26 2004-10-01 코닉시스템 주식회사 플라즈마 급속열처리 장치
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7767169B2 (en) 2003-12-11 2010-08-03 Sharper Image Acquisition Llc Electro-kinetic air transporter-conditioner system and method to oxidize volatile organic compounds
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060016333A1 (en) 2004-07-23 2006-01-26 Sharper Image Corporation Air conditioner device with removable driver electrodes
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7840272B2 (en) * 2005-06-03 2010-11-23 Medrelief Inc. Methods for modulating osteochondral development using bioelectrical stimulation
JP2007042958A (ja) * 2005-08-05 2007-02-15 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
CN100482585C (zh) * 2005-10-24 2009-04-29 鸿富锦精密工业(深圳)有限公司 碳纳米管制备装置
US7833322B2 (en) 2006-02-28 2010-11-16 Sharper Image Acquisition Llc Air treatment apparatus having a voltage control device responsive to current sensing
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
KR100835355B1 (ko) * 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
US20080066866A1 (en) * 2006-09-14 2008-03-20 Martin Kerber Method and apparatus for reducing plasma-induced damage in a semiconductor device
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
KR101046335B1 (ko) * 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP5728482B2 (ja) 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US9252069B2 (en) * 2010-08-31 2016-02-02 Teledyne Scientific & Imaging, Llc High power module cooling system
JP6100691B2 (ja) * 2010-10-28 2017-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高純度アルミニウムコーティングの硬質陽極酸化処理
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9095038B2 (en) * 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
US20140000810A1 (en) * 2011-12-29 2014-01-02 Mark A. Franklin Plasma Activation System
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104103485B (zh) * 2013-04-15 2016-09-07 中微半导体设备(上海)有限公司 电感耦合等离子体装置
US9484214B2 (en) * 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
US9653266B2 (en) * 2014-03-27 2017-05-16 Mks Instruments, Inc. Microwave plasma applicator with improved power uniformity
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11022383B2 (en) 2016-06-16 2021-06-01 Teledyne Scientific & Imaging, Llc Interface-free thermal management system for high power devices co-fabricated with electronic circuit
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWM563652U (zh) 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US9767992B1 (en) * 2017-02-09 2017-09-19 Lyten, Inc. Microwave chemical processing reactor
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109390197B (zh) * 2017-08-08 2023-04-14 北京北方华创微电子装备有限公司 预清洗腔室和半导体加工设备
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
CN107887249B (zh) * 2017-11-14 2019-06-04 珠海宝丰堂电子科技有限公司 等离子蚀刻装置及其放电腔体
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112262616A (zh) * 2018-06-12 2021-01-22 安捷伦科技有限公司 带有可移动式单件喷射器的icp光谱炬
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN110047724B (zh) * 2019-04-22 2021-07-27 江苏鲁汶仪器有限公司 一种离子束刻蚀用双层挡板
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center
CN114231936A (zh) * 2021-11-09 2022-03-25 中山市博顿光电科技有限公司 防污染装置、电离腔体及射频离子源

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6015931A (ja) * 1983-07-07 1985-01-26 Fujitsu Ltd 反応性イオンエツチング方法
JPS62108525A (ja) * 1985-11-06 1987-05-19 Hitachi Ltd 表面処理方法およびその装置
JPH01272769A (ja) * 1987-12-30 1989-10-31 Texas Instr Japan Ltd プラズマ発生装置
US4950376A (en) * 1988-06-21 1990-08-21 Agency Of Industrial Science & Technology Method of gas reaction process control
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4960540A (en) * 1989-08-24 1990-10-02 Friel Jr Thomas C Alkoxylated bis-amide defoaming compounds
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
JPH06252097A (ja) * 1993-02-25 1994-09-09 Hitachi Ltd プラズマエッチング装置
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
JPH09219391A (ja) * 1996-02-08 1997-08-19 Hitachi Ltd レジスト膜の除去方法及び装置
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
JP3393399B2 (ja) * 1996-09-24 2003-04-07 アクセリス テクノロジーズ インコーポレーテッド アッシング方法
EP0868836A4 (de) * 1996-09-24 2002-02-13 Fusion Systems Corp Durch fluor unterstutztes abziehverfahren und ruckstandbeseitung in stromabwarts plasmaverascher aus saphir
US5980638A (en) 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6057645A (en) * 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
WO1999040609A1 (en) * 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6093281A (en) 1998-02-26 2000-07-25 International Business Machines Corp. Baffle plate design for decreasing conductance lost during precipitation of polymer precursors in plasma etching chambers
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
EP1268165B1 (de) * 2000-03-24 2004-10-06 GENERIS GmbH Verfahren und vorrichtung zur herstellung eines strukturbauteils mittels einer mehrschicht-auftragstechnik, und form oder kern herstellbar durch dieses verfahren

Also Published As

Publication number Publication date
EP1410418A2 (de) 2004-04-21
US6761796B2 (en) 2004-07-13
US20020144785A1 (en) 2002-10-10
EP1410418B1 (de) 2010-03-31
WO2003007326A2 (en) 2003-01-23
KR20040021621A (ko) 2004-03-10
JP5041114B2 (ja) 2012-10-03
AU2002313697A1 (en) 2003-01-29
CN1554106A (zh) 2004-12-08
CN100474495C (zh) 2009-04-01
US20040140053A1 (en) 2004-07-22
US7037846B2 (en) 2006-05-02
KR100971559B1 (ko) 2010-07-20
TW559988B (en) 2003-11-01
JP2004535672A (ja) 2004-11-25
WO2003007326A3 (en) 2003-12-11

Similar Documents

Publication Publication Date Title
DE60235813D1 (de) Verfahren und vorrichtung für mikrojet aktivierte, niederenergetische ionen-erzeugung und -transport in der plasmabehandlung
DE60236167D1 (de) Vorrichtung und verfahren zum multiplexen von sprühvorrichtungen in einer electrospray-ionenquelle
DE60121066D1 (de) Angriffsresistente kryptographische Verfahren und Vorrichtung
AU2003297204A8 (en) Methods and apparatus for credential validation
DE60333327D1 (de) Verfahren, System und Vorrichtung zum Authentifizieren eines elektronischen Wertes
DE50303723D1 (de) Verfahren zur abgasnachbehandlung und vorrichtung hierzu
AU2003275215A8 (en) Exhaust gas treatment device and method for making the same
DE60133076D1 (de) Walzverfahren und Walzwerkzeug, Walzwerkzeugaufbau und Vorrichtung
AU2003272406A8 (en) Device and method for treating restenosis
DE60132089D1 (de) Vorrichtung zur behandlung von gasen miitels plasma
AU2003277443A8 (en) Method of cleaning ion source, and corresponding apparatus/system
DE60142605D1 (de) Verfahren und Vorrichtung zur Plasma-Behandlung
DE50201467D1 (de) Einrichtung und verfahren zum zuführen von gegurteten elektrischen bauteilen
EP1573872A4 (de) Verfahren und vorrichtung zur bipolaren ionenerzeugung
EP1610368A4 (de) Plasmaverarbeitungsvorrichtung und -verfahren
DE50205725D1 (de) Verfahren und vorrichtung zum herstellen von hartpackungen für zigaretten
DE50208075D1 (de) Verfahren und vorrichtung für die plasmachirurgie
DE60114383D1 (de) Verfahren und vorrichtung zur plasmabeschichtung
DE50214806D1 (de) Verfahren und vorrichtung zur abgasnachbehandlung
DE102004008900A8 (de) Vorrichtung und Verfahren zum Verarbeiten von Wafern
DE10296978T5 (de) Elektrodenteil für eine Plasmabehandlungsvorrichtung, Plasmabehandlungsvorrichtung und Plasmabehandlungsverfahren
EP1572251A4 (de) VERFAHREN UND GERûT ZUR BEHANDLUNG EINESOBJEKTS MIT OZON
DE60136433D1 (de) Verfahren und Vorrichtung zur Pfadmetrikverarbeitung in Telekommunikationssystemen
DE50312881D1 (de) Verfahren und Vorrichtung zum Überprüfen von stabförmigen Gegenständen, insbesondere Zigaretten
DE60229325D1 (de) Verfahren und Vorrichtung zum Aufkohlen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition