JP2004535672A - プラズマ処理におけるマイクロジェットによる低エネルギーイオン発生および輸送のための方法および装置 - Google Patents

プラズマ処理におけるマイクロジェットによる低エネルギーイオン発生および輸送のための方法および装置 Download PDF

Info

Publication number
JP2004535672A
JP2004535672A JP2003512998A JP2003512998A JP2004535672A JP 2004535672 A JP2004535672 A JP 2004535672A JP 2003512998 A JP2003512998 A JP 2003512998A JP 2003512998 A JP2003512998 A JP 2003512998A JP 2004535672 A JP2004535672 A JP 2004535672A
Authority
JP
Japan
Prior art keywords
baffle plate
plasma
openings
wafer
plate assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003512998A
Other languages
English (en)
Other versions
JP5041114B2 (ja
JP2004535672A5 (ja
Inventor
スリヴァスタヴァ アシーム
サクシベル パラニ
サウィン ハーバート
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2004535672A publication Critical patent/JP2004535672A/ja
Publication of JP2004535672A5 publication Critical patent/JP2004535672A5/ja
Application granted granted Critical
Publication of JP5041114B2 publication Critical patent/JP5041114B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】半導体ウエハのプラズマ処理で使用する低エネルギーイオンの発生および輸送方法および装置を提供すること。
【解決手段】ガス種からプラズマを発生させてプラズマ排出流を生成し、処理室16に導入する。プラズマ排出流中のイオン含量は、補助イオン源74を作動させることによって増大され、それによってプラズマ排出流中に一次プラズマ放電が形成される。次いで、一次プラズマ放電はバッフル板アセンブリー54に送出され、プラズマがバッフル板アセンブリー54から流出する時に二次プラズマ放電が形成される。一次プラズマ放電により発生しかつ二次プラズマ放電中に含まれるイオンに作用する電界の強度は低減され、それによってイオンは、ウエハ18上に形成された半導体デバイスに損傷を与えない低エネルギーでウエハ18に衝突する。
【選択図】図1

Description

【技術分野】
【0001】
本発明は、一般的には、半導体ウエハのプラズマ処理に関し、より詳細には、プラズマアッシングシステムで使用するための低エネルギーイオンの発生および輸送機構に関する。
【背景技術】
【0002】
集積回路の製造において、基板上に集積回路のパターンを形成するためにフォトリソグラフィー技術が使用される。典型的には、半導体基板上にフォトレジスト材料を塗布し、その一部をマスクを介して紫外線(UV)露光して、フォトレジスト上に所望の回路パターンの像を形成する。UV露光されなかった部分は処理溶液によって除去し、露光された部分のみを基板上に残存させる。一例では、残存する露光部分は、フォトレジストがその後の処理に耐え得るように、光安定化処理の間にUV光を使用してベークされる。
【0003】
集積回路の構成要素を形成する処理の後、通常は、残存するフォトレジストをウエハから除去する必要がある。加えて、エッチング等の処理によって基板表面上に持ち込まれる場合がある残留物を除去しなければならない。通常、フォトレジストは、原子状酸素および他のガスの存在下で、Aアッシング(灰化)@あるいはA燃焼(burning)@され、アッシングまたは燃焼されたフォトレジストは、残留物と共に、基板の表面からA剥離@あるいはAクリーニング@される。
【0004】
フォトレジストおよび残留物を除去する1つの方法は、高周波(radio frequency:RF)励起プラズマまたはマイクロ波励起プラズマを基板表面に送出することである。マイクロ波励起プラズマの場合、プラズマは、マイクロ波空洞共振器内を通るプラズマ管を通じて輸送される混合ガスにより形成されるものであり、空洞内のマイクロ波エネルギーがプラズマ管に導入され、その中の混合ガスが励起されてプラズマが形成される。活性種を含む励起プラズマは、プラズマ管から処理室に導入され、処理室には、アッシングするフォトレジストが塗布された半導体基板が配置されている。この種のアッシング装置は、Aダウンストリーム型アッシング装置@として知られ、レジストが塗布された基板は、Aアップストリーム@プラズマ源として知られるプラズマ発生装置から、物理的に分離されている。
【0005】
半導体への応用において、レジストが塗布されたウエハに対して比較的高線量(たとえば、∃1×1015cm-2)のイオン注入が実施される場合、フォトレジストの最上層は、高度に炭化されたクラスト(crust)に変成し、閉じ込められた溶剤が下層の残存レジストから拡散することに対して不浸透性になる。したがって、溶剤がクラストを破裂させて噴出することを防止するため、このクラストを慎重に(通常は、低ウエハ温度で)除去しなければならない。そうでない場合、このような条件によってフォトレジスト上にAポッパー(popper)@が形成される。ポッパーによってウエハ表面にしばしば残される残留物は、除去が困難であり、ウエハまたは装置の処理室内に対する汚染粒子源になるおそれがある。しかし、化学的にクラストを除去する原子種のみに依存した低温度処理は、その性質上非効率であり、アッシング装置=のスループット(単位時間当たりに処理されるウエハ数で測定)を低減するものである。
【0006】
低温度での炭化クラストのアッシング速度を向上させるための周知の方法は、イオン衝突を使用することである。アッシング装置における従来のイオン源では、通常、RF電源によって高周波バイアスがかけられたプラテン(あるいは、静電チャック)が使用される。作動状態において、RF電源は、ウエハの上方に容量性放電を生成する。この二次放電によって、ウエハのすぐ上方でイオン−電子ペアが形成され、そこから、ウエハの上方に形成された容量性シースによってイオンが加速される。容量性シースのポテンシャルエネルギーは、40〜50eVあるいはそれよりも高く、イオンは、このような高エネルギーでウエハに衝突する。
【特許文献1】
米国特許第6,082,374号明細書
【特許文献2】
米国特許第5,961,851号明細書
【特許文献3】
米国特許第6,057,645号明細書
【発明の開示】
【発明が解決しようとする課題】
【0007】
しかし、このような高エネルギーイオン衝突は、ウエハ上に形成されたデバイスに広範な損傷を与える可能性がある。また、高エネルギーイオン衝突によるウエハの広範な加熱により、作業中のウエハ毎に温度のばらつきが生じる可能性がある。
【課題を解決するための手段】
【0008】
上述した先行技術の弱点または欠点は、半導体ウエハのプラズマ処理で使用する低エネルギーイオンの発生および輸送方法によって克服または軽減される。本発明の例示的な実施形態において、この方法は、ガス種からプラズマを発生させてプラズマ排出流(plasma exhaust)を生成する工程を含む。次いで、プラズマ排出流は、ウエハを収容する処理室に導入される。プラズマ排出流中のイオン含量は、プラズマが処理室に導入される時に補助イオン源を作動させることによって増大され、それによってプラズマ排出流中に一次プラズマ放電が形成される。次いで、一次プラズマ放電はバッフル板アセンブリーに送出され、プラズマがバッフル板アセンブリーから流出する時に二次プラズマ放電が形成される。一次プラズマ放電により発生しかつ二次プラズマ放電中に含まれるイオンに作用するシース電位による電界の強度は、低減される。この強度が低減された電界は、低電位でイオンを加速し、それによってイオンは、ウエハ上に形成された半導体デバイスに損傷を与えない低エネルギーでウエハに衝突する。
【0009】
好適な実施形態では、二次プラズマ放電中のシース電位を通じたイオン加速の低減は、バッフル板アセンブリーが一次プラズマ放電とウエハとの間に配置されるように、補助イオン源を配置することによって達成される。加えて、バッフル板アセンブリーは、二次プラズマ放電がほぼマイクロジェット状に形成されるように構成される。バッフル板アセンブリーは、上側バッフル板および下側バッフル板を含み、さらに、下側バッフル板は、この下側バッフル板を貫通する複数の面取りされた開口部を有して、ウエハの表面にイオンを均一に衝突させ、それによってウエハを損傷する荷電作用を防止する。
【発明を実施するための最良の形態】
【0010】
以下の説明において、同等の構成要素には、複数の図に渡って同じ参照符号を付すものとする。本明細書には、新規の低エネルギーイオン発生および輸送機構が開示されている。この機構は、ウエハを高シース電圧による有害な高エネルギーイオン衝突に曝すことなく、イオン注入されたフォトレジストの炭化最上層の均一な化学分解および引き続く揮発を促進するものである。このようなAソフト@イオンアシスト法は、アッシング装置中の補助イオン源により発生されるイオンと、予め存在している化学反応物との間に相乗作用が生じるという利点を有し、この相乗作用によって、それらの成分のいずれか1つまたはそれらの成分の単純な和によって達成できる反応速度よりも高速な反応速度が達成される。
【0011】
イオンは、A物理的@運動エネルギーと共に、反応において解放されるA化学的@内部エネルギーに寄与し、それによって、表面反応の活性化エネルギーを低下させる効果を奏するものと考えられる。従来の容量性放電の高シース電位特性からウエハをシールドすることによって、ウエハ付近に形成されたシース電位を通過する時にイオンに作用する電界が、大幅に低減される。これによって、イオンは、ウエハ上のデバイスに重大な損傷を与えない程度に十分な低エネルギーであって、かつ、イオン注入されたフォトレジスト上に形成された炭化クラストを除去するイオンアシスト化学処理が可能であるようなエネルギーでもって、ウエハに衝突する。この機構はまた、動作のためにウエハ用のチャックを必要としないシステムに対して特に好適である。
【0012】
本明細書には、ソフトイオン衝突の応用例としてイオン注入されたレジストが記載されているが、このようなイオン衝突に対する他の多くの応用例を予想することができる。それらの例には、エッチング後残留物の除去、異方性レジスト除去、低誘電率(low-k)材料が存在する場合のフォトレジストの選択的除去、および異方性エッチング等が含まれるが、これらに限定されるものではない。
【0013】
図1には、本発明の一実施形態におけるフォトレジストアッシング装置10の概要が示されている。アッシング装置10は、ガスボックス12、マイクロ波電源アセンブリー14、ウエハ18等の半導体基板あるいは加工物が加熱される処理室16、処理室16の底部に配置されてウエハ18を加熱する放射加熱器アセンブリー20を含んでいる。熱電対等の温度プローブ24は、ウエハ18の温度を監視するために使用される。真空ポンプ26は、真空条件を要する処理に対して処理室16を排気するために使用される。モノクロメータ28は、処理室内のガスの発光特性を監視して処理の終点を判別するために使用される。
【0014】
作動状態において、所望の混合ガスは、ガスボックス12から入口導管34を通じてプラズマ管32に導入される。プラズマ管32は、石英またはサファイア等の材料により形成されている。所望の混合ガスを形成するそれぞれのガスは、別々の供給源(図示せず)に貯蔵され、弁36および配管38によってガスボックス12内で混合される。所望の混合ガスの一例は、窒素ベースのフォーミングガス(主に窒素からなり、少量の割合で水素を含む)であり、酸素を含む場合と含まない場合がある。特定の処理においてアッシング速度を向上させるため、この混合ガスに、四フッ化炭素のようなフッ素含有ガスを追加してもよい。その場合には、プラズマ管32の材料としてサファイアが好ましい。サファイアは、プラズマ中に存在する反応性のフッ素原子およびイオンによって生じるプラズマ管32内面のエッチングに対する耐性を有している。フッ素を使用した剥離処理の詳細については、ハフマン(Huffman)等による特許文献1に開示され、その開示内容は参考として本説明に含まれる。
【0015】
所望の混合ガスは、マイクロ波電源アセンブリー14により励起されて反応性のプラズマを形成し、処理室16中のウエハ18上のフォトレジストは、放射加熱器アセンブリー20による加熱時に、このプラズマによってアッシングされる。マイクロ波エネルギー(約2.45GHz)は、マグネトロン40により発生され、導波管42に結合される。次いで、このマイクロ波エネルギーは、導波管から開口部(図示せず)を通じてプラズマ管32を取り囲むマイクロ波エンクロージャ44に供給される。マイクロ波エネルギーとは別の方法として、周知のように、マグネトロン40の代りに高周波(radio frequency:RF)電源(図示せず)を使用して所望の混合ガスを励起してもよい。
【0016】
図1に示す実施形態では、プラズマ管32は、フッ素プラズマの化学作用に適応させるため、アルミナ(Al23)または単結晶サファイアにより形成される。外側の石英製冷却管46は、サファイア製プラズマ管32を僅かな間隙を介して取り囲んでいる。これらの管32、46同士の間隙には加圧空気が供給され、作動中のプラズマ管32を効率的に冷却するものである。マイクロ波エンクロージャ44は、破線45で示される複数のセグメントに区分化される。エンクロージャ44を区分化することによって、マイクロ波電力を管32の全長に渡って一様に分布させることが可能となり、適切な入力電力が供給されたときに軸方向に沿って許容限界を超える熱勾配が生じることを防止し、プラズマ管32を保護するものである。石英とは異なり、サファイアには、非均一な加熱によってクラックが生じる傾向がある。したがって、エンクロージャ44の各セグメントには、石英管46およびこの管内を通るサファイア製プラズマ管32を通過するマイクロ波エネルギーが、個別に供給される。このエンクロージャに関する詳細は、カマレイ(Kamarehi)等による特許文献2に開示さており、その開示内容は、参考として本説明に含まれる。あるいは、特にTM012モードに共振するように設計された単一の空洞共振器を備えたマイクロ波アプリケータを使用してもよい。そのようなアプリケータの詳細は、スリヴァスタヴァ(Srivastava)等による特許文献3に開示され、その開示内容は参考として本明細書に含まれる。
【0017】
プラズマ管32に使用される材料(石英またはサファイア)、またはマイクロ波アプリケータの種類に関わらず、プラズマ管32内の混合ガスは励起されてプラズマを形成する。マイクロ波エンクロージャ44の両端部には、マイクロ波トラップ48、50が設けられ、マイクロ波の漏れを防止するものである。
【0018】
前述したように、従来のダウンストリーム型アッシング装置では、意図的に基板の上流でプラズマを発生させており、基板に衝突するAアフターグロー@プラズマの反応性原子種は豊富であるが、イオン濃度は低くなる。したがって、補助イオン源74は、ウエハの表面に衝突する十分なイオン含量を有する別のプラズマを、より基板に近い位置で発生させるものである。補助イオン源74は、マイクロ波電源アセンブリー14によって発生されるプラズマとは独立に操作可能なものであり、それによって、一つの処理における別々の工程内での異なるプラズマ特性要件(たとえば、低イオン含量または高イオン含量)に適合させるものである。補助イオン源74は、アップストリームプラズマ源を使用する任意の種類のアッシング装置に組込むことができることを理解されたい。
【0019】
図1に示す実施形態では、補助イオン源74は、誘電体ウィンドウ52とプラズマ管32との間に、コイルアンテナアセンブリー76の形態で設けられている。コイルアンテナの代りに、平板アンテナを使用することもできる。アッシング装置10の誘電体ウィンドウ52は、コイルアンテナ76から放射された信号が処理室16内に通過できるように、石英または同様の誘電性材料(たとえば、アルミナ(Al23)セラミック)により形成される。図2に示すように、コイルアンテナアセンブリー76は、ベース80に埋め込まれた金属(たとえば、銅)製のコイルアンテナ78を有している。ベース80は、好ましくは、通常テフロン(R)(Teflon(R))と称するポリテトラフルオロエチレン(PFTE)等のフッ素ポリマー樹脂により形成される。Teflon(R)は、E.I.デュポン・ドゥ・ヌムール&カンパニー社(E. I. duPont de Nemours and Company)の登録商標である。
【0020】
コイルアンテナ78は、励起プラズマとは接触せず、微粒子またはスパッタリングされた金属による汚染の問題が生じないように、好ましくは処理室16の外側に配置される。コイルアンテナ78は、ほぼ平面状をなし、ウエハ18の全面(または、実質的な全面)を覆うために十分な大きさを有する。
【0021】
アンテナ78は、RF信号発生器82からのRF信号出力により励起される。好適な実施形態では、RF信号の動作周波数は13.56MHzである。しかし、この動作周波数は、ISM周波数帯で使用可能な任意のRF信号とすることができる。RF信号発生器87とアンテナ78との間には、アンテナ78からRF信号発生器82に反射されるエネルギーを最小化するための整合ネットワーク84が配置されている。RF信号発生器82と整合ネットワーク84との間、および整合ネットワーク84とアンテナ78との間は、同軸ケーブルまたは導波管によって接続してもよい。あるいは、自己完結型の周波数同調RF信号発生器および増幅器等の他の機構を使用してコイルを励起してもよい。
【0022】
図3は、平板アンテナアセンブリー90の形態で設けられた、補助イオン源74の別の実施形態を示すものである。平板アンテナアセンブリー90は、金属(たとえば、銅またはアルミニウム)製の平板アンテナ92を有し、この平板アンテナは、ほぼ円形に形成され、プラズマ管32を配置するための中央開口部93が設けられている。平板アンテナ92も、ほぼ平面をなし、ウエハ18の全面(または、実質的な全面)を覆うために十分な大きさを有するものである。アンテナアセンブリー90によって追加されるプラズマ放電は、主に容量性のものであり、一方アンテナアセンブリー76によって追加されるプラズマ放電は、部分的に誘導性のものである。
【0023】
図1には、補助励起装置としてRF電源を使用する場合が示されているが、補助イオン励起装置74として他の励起電源(たとえば、マイクロ波)を使用することも考えられることを理解されたい。
【0024】
再び図1を参照すると、補助イオン源74を通過した後、励起プラズマは、誘電体ウィンドウ52の開口部51を通じて処理室16内に入る。開口部を有する2層のバッフル板アセンブリー54は、上側バッフル板54aと下側バッフル板54bからなり、反応性プラズマを、処理されるウエハ18の表面に均一に分散させるものである。放射加熱器アセンブリー20は、複数のタングステンハロゲンランプ58からなり、反射板64内に配置されている。反射板は、ランプにより発生された熱を反射して、処理室16内の石英ピン68上に配置されたウエハ18の裏側に向けるものである。熱電対24は、ウエハ18に密接させて配置され、ランプ58へのフィードバックループを形成してウエハ18温度の能動制御を維持するものである。誘電体ウィンドウ52の外部には、処理室温度を示す熱電対等の1つまたは複数の温度センサ72が取り付けられている。
【0025】
図4に示すように、典型的なプラズマアッシング装置101における従来の補助励起装置では、半導体ウエハ102は、通常、チャック104上に固定されている。イオン衝突が必要な場合、チャック104は、通常、(追加のイオンを発生させるために)特定のRF電位にバイアスされて、容量性プラズマがウエハ102のすぐ上に形成される。ウエハ102およびチャック104は、次いで、励起された容量性シース106に包まれる。この励起された容量性シース106は、ウエハに対するプラズマの電位を正に上昇させ、これによって、イオン(大部分は、1つまたは複数の電子を失って正に帯電している)がウエハ102の表面に引き付けられる。シース106の電位は、イオンを高エネルギーで加速してウエハ102に衝突させる機構として作用する。
【0026】
対照的に、本発明の実施形態では、高エネルギーシースの形成からウエハを保護することができ、加えて、基板の近くで高密度のプラズマが形成される。図5は、処理室16の概要を示す断面図であり、プラズマ処理の間に形成される容量性シースが示されている。石英ピン68(および熱電対24)上に取り付けられたウエハ18には、RF電位のバイアスはかけられていない。マイクロ波励起プラズマ(または、マイクロ波プラズマが作動していない場合には入力ガス)が開口部51を通じて処理室16内に入ると、RFアンテナ74によって、関連する励起シース106を伴う容量性放電(または、誘導性放電と容量性放電の組合せ)の励起が開始される。しかし、従来の処理室とは異なり、(一次RF放電によって形成された)シース106は、バッフル板アセンブリー54を挟んでウエハ18の反対側に位置している。したがって、ウエハ18は、励起シース106により加速された高エネルギーイオンには曝されない。代りに、イオンは、ウエハ18を取り囲む「不動電位」シース108に関連する最大エネルギーで、ウエハ18に拡散し、衝突する。図5には、バッフル板アセンブリー54(およびその開口部)中のバッフル板間に形成されるシースおよびプラズマのいずれも示されていないことに注意されたい。
【0027】
図6〜図10には、本発明の別の実施形態におけるバッフル板アセンブリー54の詳細が示されている。本実施形態でも、バッフル板アセンブリー54は、上側バッフル板54aと下側バッフル板54bを含み、石英またはセラミック等の誘電性材料から形成されている。上側バッフル板54aは、フッ素に関連するエッチングに対して耐性を有するように、サファイアでコーティングされていてもよい。図6の上面図に示すように、上側バッフル板54aは、その中央に配置された衝突ディスク110を有している。衝突ディスク110は、図7に示すように、一次プラズマ放電をその上に衝突させて、上側バッフル板54a中の開口部112を通じて内部の前室113に均一に流入させるものである。さらに、バッフル板アセンブリー54は、好ましくは陽極酸化処理アルミニウム等の材料により形成された下側バッフル板54bを含み、この下側バッフル板は、アンテナ78または92に対して反対側の容量性電極として作用するように、接地されている。下側バッフル板54bも、複数の開口部114を有し、その開口部を通じて二次プラズマ放電が流出する。下側バッフル板54bの開口部114の数は、好ましくは上側バッフル板112の開口部112の数と同数であり、互いに揃えられて配置されている。
【0028】
図8にさらに詳細に示すように、開口部114の間には、下側バッフル板54bを通じてほぼV字形に走る一連の流路または溝116が設けられている。流路116は、この流路を通じた水または他の冷媒材料の循環により、バッフル板アセンブリー54のための冷却機構を形成する。流路116と開口部114は、流路116が穿孔されて漏れが生じることを防止するように配置されていることに注意されたい。
【0029】
図9には、下側バッフル板の開口部114の詳細な断面図が示されている。各開口部114は、下側バッフル板54bの内面118(すなわち、前室側の面)上では第1の直径d1を有し、下側バッフル板54bの外面120(すなわち、ウエハ側の面)上では第2の直径d2を有し、第1の直径d1は、第2の直径d2よりも大きいものである。図示されているように、開口部114の前室側は、ほぼ円錐台状の形状を有し、その内径がd2に等しくなるまで、90°の面取りによる内側方向へのテーパを有している。内径がd2に等しくなるのは、下側バッフル板54bの厚みの途中であり、したがって、開口部114は、円錐台状部分117と円筒状部分119の両方を有することを特徴とする。図9において、開口部114の円筒状部分119の高さは、d3で示されている。
【0030】
最後に、図10には、下側バッフル板54bの面取りされた開口部114を通じた、マイクロジェット状の低エネルギーイオン発生領域が示されている。バッフル上の前室内での一次プラズマ放電の結果として、開口部114内に局所的なシース122が形成され、それによって電界が生じる。一次放電からの電子およびイオンが開口部114に入り、各開口部を通じて下側バッフル板54bの外面120に至る電流路(破線矢印123で示されている)が形成される。電流路(破線矢印123)は開口部114に近付くにしたがって集束するため、電流密度の増大により開口部内に高密度プラズマが形成されて、狭いプラズマシースを有するプラズマジェットが形成される。マイクロジェットのプラズマ密度の増大により中性粒子温度も増大し、これによって開口部内の中性粒子密度が低減する。このような効果の併合によって、電子温度が増大し、マイクロジェット中のプラズマ放電の化学作用が変化する。加えて、イオンもまたシースにより加速されて開口部114の内面124に衝突し、それによって二次電子が放出される。高密度プラズマに伴うシースが狭いことによって、電子をシース122に渡ってほとんど衝突なしに加速することが可能となり、その結果、マイクロジェット中に非常に高エネルギーの電子が形成される。この二次電子は、中性のガス分子に衝突してそれらを電離するために十分なエネルギーを有し、それによって、開口部を通じたマイクロジェット状のプラズマ放電126が形成される。
【0031】
接地されたバッフル板54b中でのマイクロジェットの形成は、比較的大きな面積を有する電極の近くでプラズマ密度が増大するという独自の特徴を有している。従来の容量結合型プラズマ放電では、比較的小さな電極において電界が強くかつRF電流密度も大きいため、そのプラズマ密度は、ウエハが存在する場所である比較的小さな電極において最大になる。穿孔された下側バッフル板54bを、マイクロジェット形成を促進する開口部を有する電極として使用することによって、比較的大きな面積を有する電極におけるプラズマ密度および電力損が増大する。プラズマ密度の増大によってシースの厚さが減少し、それによってシースのキャパシタンスが増大して、下側バッフル板54bとアンテナ78または92との間の有効面積比が増大する。面積比の増大によってバッフル板のイオン衝突エネルギーが減少し、それによって、バッフル板のスパッタリングと、対応するスパッタリング生成物によるウエハの汚染が最小化される。同時に、ウエハ側の下側バッフル板54bの領域中でのプラズマ密度および電子温度の増大によって、ウエハに対する衝突イオン流束(ion bombardment flux)が増大し、プラズマの独自の化学作用が生じる。それによって、ウエハ上において、マイクロジェットを使用しない場合には生じないような表面反応が可能になる。このイオン衝突は均一に発生し、ウエハの荷電作用が緩和される。
【0032】
マイクロジェット放電126は、最終的にウエハに衝突するイオンの主要なイオン源である。ウエハは、(従来のアッシング装置のような二次放電のRF容量性電極シース電位ではなく)、浮動電位にバイアスされているため、イオンは、ウエハに損傷を与えない低エネルギーでウエハに衝突する。さらに、開口部114の縦横比(高さd3によって除算された直径d2)を低くすることによって、マイクロジェット放電が促進されることが経験的に知られている。しかし、開口部114の高さ(すなわち、下側バッフル板54bの厚さ)を小さくし過ぎると、下側バッフル板の水冷能力が失われる。一方、縦横比を下げるために開口部の直径を大きくし過ぎると、プラズマ放電を均一に分散させるバッフル板の効果が失われる。したがって、本実施形態における開口部114は、イオンを豊富に含むプラズマを、この開口部を通じてウエハに対して発生させかつ輸送するために効果的な低い縦横比を有しながら、効果的なバッフル板の冷却が可能になるように構成されている。
【0033】
マイクロジェットを確実に発生させるために必要な開口部114のサイズおよび縦横比は、プラズマ電力、圧力、ガス組成等のパラメータを含む処理条件の関数である。この処理において、処理の均一性を達成するためには、開口部114内でマイクロジェットをA点火(lighting)@する必要がある。上述した処理では、マイクロジェットの均一かつ確実な点火が達成され、このような均一な処理が実施される。この点で、本発明は、エッチングトンネル等の他の例とは異なるものである。エッチングトンネルは、比較的小さな開口部が穿孔されたプレートを使用してトンネル内にA無電界領域@を形成し、それによってイオン衝突を最小化してウエハを処理するものであるが、その開口部は、マイクロジェットを確実に形成するものではない。同様に、本発明は、比較的大きな開口部が穿孔されたプレートを使用して単純な容量性電極を形成し、プラズマがマイクロジェットを形成することなくそのプレートの開口部を通過するような他の先行技術とは異なるものである。
【0034】
以上、本発明を、好適な実施形態を参照して説明してきたが、当業者は、本発明の範囲から逸脱することなく、本発明に対する種々の変更や本発明の構成要素のその均等物との置換が可能であることを理解するであろう。加えて、本発明の教示に従って、本発明の基本的範囲を逸脱することなく、特定の状況または材料に適合させるための多くの修正が可能である。したがって、本発明は、本発明を実施するための考えられる最適な態様として開示された特定の実施形態に限定されるものではなく、添付請求項の範囲に含まれるすべての実施形態を含むものである。
【図面の簡単な説明】
【0035】
【図1】図1は、フォトレジストアッシング装置の処理室と共に使用可能なプラズマ源および補助イオン励起装置を概略的に示す断面図である。
【図2】図2は、図1に示す補助イオン励起装置の2−2線断面図である。
【図3】図3は、図2に示す補助イオン励起装置の別の実施形態を示す断面図である。
【図4】図4は、補助イオン源を有し、RFバイアスがかけられた静電チャックの作動によるイオン発生時に半導体ウエハのすぐ上方に高エネルギー容量性シースが形成される従来のプラズマアッシングシステムを示す図である。
【図5】図5は、本発明の実施形態に従って、高エネルギー容量性イオンシースの半導体ウエハからの分離が示された図1の処理室を概略的に示す断面図である。
【図6】図6は、本発明の実施形態に従ったバッフル板アセンブリーの上面図である。
【図7】図7は、図6に示すバッフル板アセンブリーの7−7線側断面図である。
【図8】図8は、バッフル板アセンブリーの下側バッフル板の8−8線上断面図である。
【図9】図9は、下側バッフル板の面取りされた開口部の詳細を示す断面図である。
【図10】図10は、下側バッフル板の面取りされた開口部を通じて形成されるマイクロジェット状の低エネルギーイオン発生領域を概略的に示す図である。

Claims (32)

  1. 加工物をプラズマ処理するためのシステムであって、
    ガスを励起してプラズマにするための電源アセンブリーと、
    加工物を配置して処理するための処理室と、
    プラズマ管から前記処理室へプラズマ排出流を送出するための前記プラズマ管と、
    前記処理室の近くに配置され、その作動によって前記プラズマ排出流のイオン含量を増大させる補助イオン源と、
    前記処理室内の前記プラズマ管と前記加工物との間に配置されたバッフル板アセンブリーと、
    前記補助イオン源の作動によって形成されるシース中の電界から加工物をシールドするための分離手段と、
    を含むことを特徴とするシステム。
  2. 前記分離手段は、前記補助イオン源の作動によって生じる一次プラズマ放電と前記加工物との間に挟まれた前記バッフル板アセンブリーをさらに含むことを特徴とする請求項1記載のシステム。
  3. 前記加工物は、前記処理室内に配置されたピン上に取付けられていることを特徴とする請求項2記載のシステム。
  4. 前記バッフル板アセンブリーは、上側バッフル板を貫通する複数の第1の開口部を有する前記上側バッフル板と、下側バッフル板を貫通する複数の第2の開口部を有し、前記上側バッフル板から内部前室によって分離されている前記下側バッフル板とをさらに含み、
    前記複数の第2の開口部のそれぞれは、その一端部に第1の直径とその他端部に第2の直径とを有し、前記第1の直径は、前記第2の直径よりも大きいことを特徴とする請求項1記載のシステム。
  5. 前記複数の第2の開口部は、前記下側バッフル板内に、前記第1の直径から始まって前記第2の直径に向けて内側方向に先細りになるテーパが付いた内面を形成することを特徴とする請求項4記載のシステム。
  6. 前記複数の第2の開口部は、円錐台状部分および円筒状部分を含むことを特徴とする請求項5記載のシステム。
  7. 前記下側バッフル板を通じて走る複数の流路をさらに含み、
    前記複数の流路は、該複数の流路を通じて循環する液体冷媒を収容可能であることを特徴とする請求項4記載のシステム。
  8. 前記上側バッフル板は、石英、サファイア、セラミック、およびサファイアでコーティングされた石英のうちの1つからなることを特徴とする請求項4記載のシステム。
  9. 前記下側バッフル板は、陽極酸化処理アルミニウムからなることを特徴とする請求項4記載のシステム。
  10. 前記上側バッフル板の最上部に配置された衝突ディスクをさらに含み、
    前記衝突ディスクは、プラズマ放電を、前記衝突ディスク上に衝突させて前記複数の第1の開口部を通るように方向付けることを特徴とする請求項4記載のシステム。
  11. プラズマ処理システムのためのバッフル板アセンブリーであって、
    上側バッフル板を貫通する複数の第1の開口部を有する前記上側バッフル板と、
    下側バッフル板を貫通する複数の第2の開口部を有し、前記上側バッフル板から内部前室によって分離されている前記下側バッフル板とを含み、
    前記複数の第2の開口部のそれぞれは、その一端部に第1の直径とその他端部に第2の直径とを有し、前記第1の直径は、前記第2の直径よりも大きいことを特徴とするバッフル板アセンブリー。
  12. 前記複数の第2の開口部は、前記下側バッフル板内に、前記第1の直径から始まって前記第2の直径に向けて内側方向に先細りになるテーパが付いた内面を形成することを特徴とする請求項11記載のバッフル板アセンブリー。
  13. 前記複数の第2の開口部は、円錐台状部分および円筒状部分を含むことを特徴とする請求項12記載のバッフル板アセンブリー。
  14. 前記下側バッフル板を通じて走る複数の流路をさらに含み、
    前記複数の流路は、該複数の流路を通じて循環する液体冷媒を収容可能であることを特徴とする請求項11記載のバッフル板アセンブリー。
  15. 前記上側バッフル板は、石英、サファイア、セラミック、およびサファイアでコーティングされた石英のうちの1つからなることを特徴とする請求項11記載のバッフル板アセンブリー。
  16. 前記下側バッフル板は、導電性材料からなることを特徴とする請求項11記載のバッフル板アセンブリー。
  17. 前記導電性材料は陽極酸化処理アルミニウムであり、前記下側バッフル板は接地されていることを特徴とする請求項16記載のバッフル板アセンブリー。
  18. 前記複数の第1の開口部と前記複数の第2の開口部とは、お互いに揃えられて配置されていることを特徴とする請求項11記載のバッフル板アセンブリー。
  19. 前記上側バッフル板の最上部に配置された衝突ディスクをさらに含み、
    前記衝突ディスクは、プラズマ放電を、前記衝突ディスク上に衝突させて前記複数の第1の開口部を通るように方向付けることを特徴とする請求項11記載のバッフル板アセンブリー。
  20. 前記複数の流路は、前記下側バッフル板を通じてほぼV字形に走ることを特徴とする請求項14記載のバッフル板アセンブリー。
  21. 半導体ウエハのプラズマ処理で使用する低エネルギーイオンを発生および輸送する方法であって、
    ガス種からプラズマを発生させ、ウエハを収容する処理室に導入するプラズマ排出流を生成する工程と、
    前記プラズマ排出流が前記処理室内に導入される時に補助イオン源を作動させることによって前記プラズマ排出流のイオン含量を増大させ、それによって前記プラズマ排出流中に一次プラズマ放電を形成する工程と、
    前記一次プラズマ放電をバッフル板アセンブリーに送出し、それによって前記バッフル板アセンブリーから流出する二次プラズマ放電を形成する工程と、
    前記補助イオン源の作動により発生しかつ前記二次プラズマ放電に含まれるイオンに作用する電界の強度を低減する工程と、を含み、
    前記二次プラズマ放電に含まれるイオンに作用する電界の強度を低減する工程によって、前記イオンは、前記ウエハ上に形成された半導体デバイスに損傷を与えない低エネルギーでウエハに衝突することを特徴とする方法。
  22. 前記二次プラズマ放電に含まれるイオンに作用する電界の強度を低減する工程は、前記バッフル板アセンブリーが前記一次プラズマ放電と前記ウエハとの間に配置されるように、前記補助イオン源を配置することを含んでいる請求項21記載の方法。
  23. 前記半導体ウエハを、前記処理室内に配置されたピン上に取付ける工程をさらに含むことを特徴とする請求項22記載の方法。
  24. 前記二次プラズマ放電がほぼマイクロジェット状に形成されるように、前記バッフル板アセンブリーを構成する工程をさらに含むことを特徴とする請求項21記載の方法。
  25. 前記バッフル板アセンブリーは、上側バッフル板および下側バッフル板を含み、
    前記下側バッフル板は、さらに、該下側バッフル板を貫通する複数の面取りされた開口部を有することを特徴とする請求項24記載の方法。
  26. 前記下側バッフル板の前記面取りされた開口部は、円錐台状部分および円筒状部分を含むことを特徴とする請求項25記載の方法。
  27. 前記上側バッフル板および前記下側バッフル板は、前記一次プラズマ放電によって形成される高エネルギー容量性シースから、前記ウエハを分離することを特徴とする請求項25記載の方法。
  28. 前記複数の面取りされた開口部は、前記上側バッフル板の複数の開口部と揃えられて配置されていることを特徴とする請求項25記載の方法。
  29. 低エネルギーイオンが前記半導体ウエハに均一に輸送されるように、前記補助イオン源から前記下側バッフル板の前記面取りされた開口部を通じてマイクロジェットを形成する工程をさらに含むことを特徴とする請求項25記載の方法。
  30. 前記上側バッフル板は、石英、サファイア、セラミック、およびサファイアでコーティングされた石英のうちの1つからなることを特徴とする請求項25記載の方法。
  31. 前記下側バッフル板は、導電性材料からなることを特徴とする請求項25記載の方法。
  32. 前記導電性材料は陽極酸化処理アルミニウムであり、前記下側バッフル板は接地されていることを特徴とする請求項31記載の方法。
JP2003512998A 2001-07-13 2002-07-12 プラズマ処理におけるマイクロジェットによる低エネルギーイオン発生および輸送のための方法および装置 Expired - Lifetime JP5041114B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/905,043 US6761796B2 (en) 2001-04-06 2001-07-13 Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US09/905,043 2001-07-13
PCT/US2002/023232 WO2003007326A2 (en) 2001-07-13 2002-07-12 Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing

Publications (3)

Publication Number Publication Date
JP2004535672A true JP2004535672A (ja) 2004-11-25
JP2004535672A5 JP2004535672A5 (ja) 2005-09-02
JP5041114B2 JP5041114B2 (ja) 2012-10-03

Family

ID=25420214

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003512998A Expired - Lifetime JP5041114B2 (ja) 2001-07-13 2002-07-12 プラズマ処理におけるマイクロジェットによる低エネルギーイオン発生および輸送のための方法および装置

Country Status (9)

Country Link
US (2) US6761796B2 (ja)
EP (1) EP1410418B1 (ja)
JP (1) JP5041114B2 (ja)
KR (1) KR100971559B1 (ja)
CN (1) CN100474495C (ja)
AU (1) AU2002313697A1 (ja)
DE (1) DE60235813D1 (ja)
TW (1) TW559988B (ja)
WO (1) WO2003007326A2 (ja)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050210902A1 (en) 2004-02-18 2005-09-29 Sharper Image Corporation Electro-kinetic air transporter and/or conditioner devices with features for cleaning emitter electrodes
US6176977B1 (en) 1998-11-05 2001-01-23 Sharper Image Corporation Electro-kinetic air transporter-conditioner
US7695690B2 (en) 1998-11-05 2010-04-13 Tessera, Inc. Air treatment apparatus having multiple downstream electrodes
US20030206837A1 (en) 1998-11-05 2003-11-06 Taylor Charles E. Electro-kinetic air transporter and conditioner device with enhanced maintenance features and enhanced anti-microorganism capability
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US20040118348A1 (en) * 2002-03-07 2004-06-24 Mills Randell L.. Microwave power cell, chemical reactor, and power converter
JP4175021B2 (ja) * 2002-05-01 2008-11-05 株式会社島津製作所 高周波誘導結合プラズマ生成装置およびプラズマ処理装置
US20050212626A1 (en) * 2002-05-07 2005-09-29 Toshiyuki Takamatsu High frequency reaction processing system
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7176469B2 (en) * 2002-05-22 2007-02-13 The Regents Of The University Of California Negative ion source with external RF antenna
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7906080B1 (en) 2003-09-05 2011-03-15 Sharper Image Acquisition Llc Air treatment apparatus having a liquid holder and a bipolar ionization device
US7724492B2 (en) 2003-09-05 2010-05-25 Tessera, Inc. Emitter electrode having a strip shape
KR100450643B1 (ko) * 2003-09-26 2004-10-01 코닉시스템 주식회사 플라즈마 급속열처리 장치
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7767169B2 (en) 2003-12-11 2010-08-03 Sharper Image Acquisition Llc Electro-kinetic air transporter-conditioner system and method to oxidize volatile organic compounds
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060016333A1 (en) 2004-07-23 2006-01-26 Sharper Image Corporation Air conditioner device with removable driver electrodes
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7840272B2 (en) * 2005-06-03 2010-11-23 Medrelief Inc. Methods for modulating osteochondral development using bioelectrical stimulation
JP2007042958A (ja) * 2005-08-05 2007-02-15 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
CN100482585C (zh) * 2005-10-24 2009-04-29 鸿富锦精密工业(深圳)有限公司 碳纳米管制备装置
US7833322B2 (en) 2006-02-28 2010-11-16 Sharper Image Acquisition Llc Air treatment apparatus having a voltage control device responsive to current sensing
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
KR100835355B1 (ko) * 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
US20080066866A1 (en) * 2006-09-14 2008-03-20 Martin Kerber Method and apparatus for reducing plasma-induced damage in a semiconductor device
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
KR101046335B1 (ko) * 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP5728482B2 (ja) * 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US9252069B2 (en) * 2010-08-31 2016-02-02 Teledyne Scientific & Imaging, Llc High power module cooling system
CN107731648B (zh) * 2010-10-28 2020-02-14 应用材料公司 高纯度铝涂层硬阳极化
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9095038B2 (en) * 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
US20140000810A1 (en) * 2011-12-29 2014-01-02 Mark A. Franklin Plasma Activation System
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104103485B (zh) * 2013-04-15 2016-09-07 中微半导体设备(上海)有限公司 电感耦合等离子体装置
US9484214B2 (en) * 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
US9653266B2 (en) * 2014-03-27 2017-05-16 Mks Instruments, Inc. Microwave plasma applicator with improved power uniformity
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11022383B2 (en) 2016-06-16 2021-06-01 Teledyne Scientific & Imaging, Llc Interface-free thermal management system for high power devices co-fabricated with electronic circuit
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWM563652U (zh) 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US9767992B1 (en) * 2017-02-09 2017-09-19 Lyten, Inc. Microwave chemical processing reactor
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109390197B (zh) * 2017-08-08 2023-04-14 北京北方华创微电子装备有限公司 预清洗腔室和半导体加工设备
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
CN107887249B (zh) * 2017-11-14 2019-06-04 珠海宝丰堂电子科技有限公司 等离子蚀刻装置及其放电腔体
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
AU2019100865A4 (en) 2018-06-12 2019-09-12 Agilent Technologies, Inc. Icp spectroscopy torch with removable one-piece injector
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US12029133B2 (en) 2019-02-28 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN110047724B (zh) * 2019-04-22 2021-07-27 江苏鲁汶仪器有限公司 一种离子束刻蚀用双层挡板
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center
CN114231936A (zh) * 2021-11-09 2022-03-25 中山市博顿光电科技有限公司 防污染装置、电离腔体及射频离子源

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6015931A (ja) * 1983-07-07 1985-01-26 Fujitsu Ltd 反応性イオンエツチング方法
JPS62108525A (ja) * 1985-11-06 1987-05-19 Hitachi Ltd 表面処理方法およびその装置
JPH01272769A (ja) * 1987-12-30 1989-10-31 Texas Instr Japan Ltd プラズマ発生装置
JPH0271516A (ja) * 1988-06-21 1990-03-12 Agency Of Ind Science & Technol ガス反応加工方法
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
JPH06252097A (ja) * 1993-02-25 1994-09-09 Hitachi Ltd プラズマエッチング装置
JPH08167596A (ja) * 1994-12-09 1996-06-25 Sony Corp プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH09219391A (ja) * 1996-02-08 1997-08-19 Hitachi Ltd レジスト膜の除去方法及び装置
JPH1098026A (ja) * 1996-09-24 1998-04-14 Tokyo Electron Ltd アッシング方法
WO1999033673A1 (en) * 1997-12-31 1999-07-08 Axcelis Technologies, Inc. Plasma discharge device and method with dynamic tuning
WO1999040609A1 (en) * 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000501573A (ja) * 1996-09-24 2000-02-08 フュージョン システムズ コーポレイション サファイヤダウンストリームプラズマアッシャーにおける弗素援助型剥離及び残留物除去
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4960540A (en) * 1989-08-24 1990-10-02 Friel Jr Thomas C Alkoxylated bis-amide defoaming compounds
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5980638A (en) 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6093281A (en) 1998-02-26 2000-07-25 International Business Machines Corp. Baffle plate design for decreasing conductance lost during precipitation of polymer precursors in plasma etching chambers
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
EP1268165B1 (en) * 2000-03-24 2004-10-06 GENERIS GmbH Method and apparatus for manufacturing a structural part by a multi-layer deposition technique, and mold or core as manufactured by the method

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6015931A (ja) * 1983-07-07 1985-01-26 Fujitsu Ltd 反応性イオンエツチング方法
JPS62108525A (ja) * 1985-11-06 1987-05-19 Hitachi Ltd 表面処理方法およびその装置
JPH01272769A (ja) * 1987-12-30 1989-10-31 Texas Instr Japan Ltd プラズマ発生装置
JPH0271516A (ja) * 1988-06-21 1990-03-12 Agency Of Ind Science & Technol ガス反応加工方法
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
JPH06252097A (ja) * 1993-02-25 1994-09-09 Hitachi Ltd プラズマエッチング装置
JPH08167596A (ja) * 1994-12-09 1996-06-25 Sony Corp プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JPH09219391A (ja) * 1996-02-08 1997-08-19 Hitachi Ltd レジスト膜の除去方法及び装置
JPH1098026A (ja) * 1996-09-24 1998-04-14 Tokyo Electron Ltd アッシング方法
JP2000501573A (ja) * 1996-09-24 2000-02-08 フュージョン システムズ コーポレイション サファイヤダウンストリームプラズマアッシャーにおける弗素援助型剥離及び残留物除去
WO1999033673A1 (en) * 1997-12-31 1999-07-08 Axcelis Technologies, Inc. Plasma discharge device and method with dynamic tuning
WO1999040609A1 (en) * 1998-02-09 1999-08-12 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber

Also Published As

Publication number Publication date
JP5041114B2 (ja) 2012-10-03
CN1554106A (zh) 2004-12-08
KR20040021621A (ko) 2004-03-10
US6761796B2 (en) 2004-07-13
CN100474495C (zh) 2009-04-01
KR100971559B1 (ko) 2010-07-20
DE60235813D1 (de) 2010-05-12
EP1410418A2 (en) 2004-04-21
US20040140053A1 (en) 2004-07-22
TW559988B (en) 2003-11-01
WO2003007326A2 (en) 2003-01-23
US20020144785A1 (en) 2002-10-10
EP1410418B1 (en) 2010-03-31
US7037846B2 (en) 2006-05-02
WO2003007326A3 (en) 2003-12-11
AU2002313697A1 (en) 2003-01-29

Similar Documents

Publication Publication Date Title
JP5041114B2 (ja) プラズマ処理におけるマイクロジェットによる低エネルギーイオン発生および輸送のための方法および装置
JP7187500B2 (ja) 自己共振装置を備えたプラズマ点火装置および方法
JP3642809B2 (ja) 低圧誘導結合プラズマ点火装置
KR940000384B1 (ko) 프라즈마 처리장치
US5838108A (en) Method and apparatus for starting difficult to start electrodeless lamps using a field emission source
EP1376668B1 (en) Microwave plasma process device, plasma ignition method, plasma forming method, and plasma process method
JPH1174097A (ja) 効率が良くコンパクトなリモートマイクロ波プラズマ発生用の装置及び方法
JPH11507476A (ja) 方位方向及び軸方向に一様な電界を使用するプラズマ装置及び方法
JPH0864584A (ja) マイクロ波トラップを有するプラズマアッシャー
WO2022063112A1 (zh) 半导体反应腔室
US10083820B2 (en) Dual-frequency surface wave plasma source
JP3150056B2 (ja) プラズマ処理装置
WO1999012184A2 (en) Microwave power applicator for generating reactive chemical species from gaseous reagent species
JP2003168681A (ja) マイクロ波プラズマ処理装置および処理方法
JP2009518854A (ja) 基板損失のない表面層除去のための中圧プラズマシステム
TW533752B (en) Plasma source having supplemental energizer for ion enhancement
JP2001044175A (ja) プラズマ処理装置
JPH06104224A (ja) レジスト除去装置及びその使用方法
JPH06283123A (ja) 電子ビーム励起プラズマ発生装置
KR0140089Y1 (ko) 마이크로파 전달장치
US20130160793A1 (en) Plasma generating apparatus and process for simultaneous exposure of a workpiece to electromagnetic radiation and plasma
JPH10335094A (ja) プラズマ処理装置
JPS6175527A (ja) エツチング方法
JPH0770522B2 (ja) プラズマ処理装置
JP2002208585A (ja) マイクロ波プラズマ発生装置及び方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080227

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080603

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090511

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090612

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100205

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100218

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20100326

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111122

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120427

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120626

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5041114

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150720

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term