CN101563757A - 快速气体交换等离子处理装置 - Google Patents

快速气体交换等离子处理装置 Download PDF

Info

Publication number
CN101563757A
CN101563757A CNA2007800426835A CN200780042683A CN101563757A CN 101563757 A CN101563757 A CN 101563757A CN A2007800426835 A CNA2007800426835 A CN A2007800426835A CN 200780042683 A CN200780042683 A CN 200780042683A CN 101563757 A CN101563757 A CN 101563757A
Authority
CN
China
Prior art keywords
gas
flow
frequency
air
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800426835A
Other languages
English (en)
Other versions
CN101563757B (zh
Inventor
列扎·S.M.·萨贾迪
黄志松
乔斯·唐·萨姆
艾瑞克·H·伦兹
拉金德尔·德辛德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101563757A publication Critical patent/CN101563757A/zh
Application granted granted Critical
Publication of CN101563757B publication Critical patent/CN101563757B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一种具有有电极的等离子约束区域的等离子腔。一种用于提供第一气体和第二气体的气体分配系统连接于该等离子腔,其中该气体分配系统可在小于1秒的时间段内将该等离子区域中的一种气体大体上替换为另一种气体。用以给该电极提供第一频率范围的能量的第一频率调谐RF电源电性连接于该至少一个电极,其中该第一频率调谐RF电源能够最小化反射的RF能量。用以给该等离子腔提供第二频率范围的能量的第二频率调谐RF电源,该第二频率范围在该第一频率范围以外,其中该第二频率调谐RF电源能够最小化反射的RF能量。

Description

快速气体交换等离子处理装置
背景技术
[0001]半导体结构是在等离子处理装置中处理的,该等离子处理装置包括等离子处理腔、向该腔内供应气体的气体源以及利用工艺气体制造等离子体的电源。在这种装置中处理半导体结构的技术包括干法刻蚀工艺、沉积工艺(例如化学气相沉积(CVD)、物理气相沉积、或对金属的等离子增强化学气相沉积(PECVD))、电介质和半导体材料和电阻剥离工艺。在这些处理技术中使用了不同的工艺气体,并对半导体结构的不同材料进行了处理。
发明内容
[0002]为了完成前述目标并根据本发明的目的,提供一种等离子晶片处理工具。提供具有等离子约束区域和至少一个电极的等离子腔,该等离子约束区域具有一定体积。用于提供第一气体和第二气体的气体分配系统连接于该等离子腔,其中该气体分配系统可以在小于1秒的时间段内将该等离子区域中的该第一气体和该第二气体中的一种大体上替换为该第一气体和该第二气体中的另一种,其中在该等离子区域内由该第一气体形成的第一等离子体提供第一阻抗负载,且其中在该等离子区域内由该第二气体形成的第二等离子体提供不同于该第一阻抗负载的第二阻抗负载。用以向该至少一个电极提供第一频率范围的能量的第一频率调谐RF电源电性连接于该至少一个电极,其中该第一频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量。第二频率调谐RF电源,以向该等离子腔提供在第二频率范围的能量,该第二频率范围在该第一频率范围以外,其中该第二频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量。
[0003]在本发明的另一种表现方式中,提供一种等离子处理装置。提供一种等离子处理腔,其包括具有内部和外部区域的喷淋头电极总成,其内部体积为约1/2升到4升。与该喷淋头电极总成的该内部和外部区域流体连通的气体分配系统,其中该气体分配系统是可操作的,能够在小于约1秒的时间段内将该等离子约束区域内的第一工艺气体或第二工艺气体替换为该第一工艺气体或该第二工艺气体中的另一种。该气体分配系统包含气体供应系统,其提供该第一工艺气体和该第二工艺气体,气流控制系统,与该气体供应系统流体连通,其将该第一工艺气体的气流分为该第一工艺气体的内部区域气流和该第一工艺气体的外部区域气流,并将该第二工艺气体的气流分为该第二工艺气体的内部区域气流和该第二工艺气体的外部区域气流,以及交换区,在该气流控制系统和该气体分配构件的该内部区域和外部区域之间流体连通,其中该交换区将流向该气体分配构件的该内部区域的气流在该第一工艺气体的该内部区域气流和该第二工艺气体的该内部区域气流之间切换,且其中该交换区将流向该气体分配构件的该外部区域的气流在该第一工艺气体的该外部区域气流和该第二工艺气体的该外部区域气流之间切换。第一频率调谐RF电源,以向该等离子处理装置提供在第一频率范围的能量,其中该第一频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量。第二频率调谐RF电源,以向该等离子处理装置提供在第二频率范围的能量,该第二频率范围在该第一频率范围以外,其中该第二频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量。
[0004]在本发明的另一种表现方式中,提供一种在等离子处理腔中处理半导体结构的方法。a)将第一工艺气体供应到该等离子处理腔,同时将第二工艺气体转移到旁路管线,该等离子处理腔包含半导体基板,该半导体基板包括至少一层和覆盖在该层上的图形化光阻掩模。b)将该第一工艺气体能量化以产生具有第一阻抗负载的第一等离子体并(i)在该层内刻蚀至少一个特征或(ii)在该掩模上形成聚合物沉积。c)将第一RF电源频率调谐至第一频率,以匹配该第一阻抗负载。d)将第二RF电源频率调谐至不同于该第一频率的第二频率,以匹配该第一阻抗负载。e)交换该第一和第二工艺气体的气流,从而将该第二工艺气体供应到该等离子处理腔,同时将该第一工艺气体转移到该旁路管线,在小于约1秒的时间段内将该等离子处理腔的等离子约束区域内的该第一工艺气体大体替换为该第二工艺气体。f)将该第二工艺气体能量化以产生具有不同于该第一阻抗负载的第二阻抗负载的第二等离子体并(iii)在该层内刻蚀该至少一个特征或(iv)在该层和该掩模上形成聚合物沉积。g)将第一RF电源频率调谐至不同于该第一和第二频率的第三频率,以匹配该第二阻抗负载。h)将第二RF电源频率调谐至不同于该第一、第二和第三频率的第四频率,以匹配该第二阻抗负载。i)交换该第一和第二工艺气体的气流,从而将该第一工艺气体供应到该等离子处理腔,同时将该第二工艺气体转移到该旁路管线,在小于约1秒的时间段内将该等离子处理腔的等离子约束区域内的该第二工艺气体大体替换为该第一工艺气体。j)对该基板重复b)-i)多次。
[0005]下面结合附图,在本发明的具体实施方式部分,对本发明的这些特征以及其他特征做出更加详细的说明。
附图说明
[0006]本发明是通过附图中的图示实施例的方式进行描述的,而不是通过限定的方式描述的,其中同类的参考标号指示类似的元件,其中:
[0007]图1是可以使用该气体分配系统的优选实施方式的等离子处理装置的示例性实施方式的横断面视图。
[0008]图2描绘了该气体分配系统的一种优选实施方式。
[0009]图3描绘了该气体分配系统的气体供应区的优选实施方式。
[0010]图4描绘了该气体分配系统的气流控制区的优选实施方式。
[0011]图5描绘了该气体分配系统的气体交换区的第一优选实施方式。
[0012]图6描绘了该气体分配系统的该气体交换区的第二优选实施方式。
[0013]图7描绘了该气体分配系统的该气体交换区的第三优选实施方式。
具体实施方式
[0014]用于处理半导体材料(例如形成在硅晶片等半导体基板上的半导体器件)的等离子处理装置包括等离子处理腔和气体分配系统,该气体分配系统向该等离子处理腔供应工艺气体。该气体分配系统可以在等离子处理过程中将气体分配到跨越基板表面的单一区域或多个区域。该气体分配系统可以包括气流控制器,以控制流向该区域的相同或不同的工艺气体或气体混合物的气流比率,从而允许对气体流动和气体构成的跨基板(across-substrate)一致性的进程中(in-process)调整。
[0015]尽管多个区域气体分配系统可以提供相对于单一区域系统更好的气流控制,然而,其可能需要提供这样的系统,该系统具有一种装置,该装置允许在基板处理操作中在很短的时间段内改变气体构成和/或气体流动。
[0016]提供一种气体分配系统,以向腔内供应不同的气体构成和/或气流比率。在一个优选实施方式中,采用该气体分配系统与真空腔(比如等离子处理装置的等离子处理腔)内部流体连通,并提供可在处理操作中向该真空腔内供应不同的气体化学成分和/或气流速率的能力。该等离子处理装置可以是低密度、中等密度或高密度的等离子反应室,包括使用RF能量、微波能量、磁场之类来制造等离子体的能源。例如,该高密度等离子体可以是在变压器耦合等离子(TCPTM)反应室(也被称为感应耦合等离子反应室)、电子回旋共振(ECR)等离子反应室、电容型放电或类似装置中制造的。典型的可以使用该等离子分配系统的优选实施方式的等离子反应室包括ExelanTM等离子反应室,例如2300ExelanTM等离子反应室,可从位于加利福尼亚州弗里蒙特的朗姆研究公司获得。在等离子刻蚀工艺中,可以对具有电极和静电卡盘的基板支架施加多个频率。或者,在双频等离子反应室中,可以对基板支架和与基板间隔的电极(例如喷淋头电极)施加不同的频率。
[0017]该气体分配系统的一个优选实施方式可以通过单一区域或多个区域(优选地,与要处理的基板的暴露表面毗连的气体分配构件的至少一内部区域和外部区域)向等离子处理腔等真空腔的内部供应第一气体。该等离子处理腔内的该内部区域和外部区域彼此呈放射状间隔,而且,优选地,气流隔离。该气体分配系统可以通过旁路管线同时向真空腔转移不同于该第一气体的第二气体。该旁路管线可与真空泵等流体连通。在一个优选实施方式中,该第一气体是第一工艺气体而该第二气体是不同的工艺气体。例如,该第一气体可以是第一刻蚀气体化学成分或沉积气体化学成分,而该第二气体可以是不同的刻蚀气体化学成分或沉积气体化学成分。该气体分配系统可以分别向该内部区域和该外部区域同时提供该第一气体的不同的受控流速,同时将该第二气体转移到该旁路管线中,或者相反。通过转移其中一种气体到该旁路管线中,可以在短时间内获得供应到该真空腔内的气体的转换。
[0018]该气体分配系统包括交换装置,该交换装置允许短时间内在供应到该真空腔内部的第一和第二气体之间气体切换,或气体转换,该真空腔包括单一区域或多个区域。对于多区域系统,该气体分配系统可以供应该第一气体到该内部区域和外部区域,而该第二气体可以被转移到该旁路管线中,然后在短时间内切换该气体分配从而该第二气体被供应到该内部区域和外部区域而该第一气体被转移到该旁路管线中。该气体分配系统可以交替供应该第一和第二气体到该真空腔的内部,每种气体供应一个需要的时间段以允许在使用不同气体化学成分的不同的处理操作之间的快速切换,例如,交替进行处理半导体器件的方法的各步骤。在一个优选实施方式中,该方法步骤可以是不同的刻蚀步骤,例如,较快地刻蚀步骤(如主刻蚀)和相对较慢的刻蚀步骤(如过刻蚀步骤)、刻蚀步骤和材料沉积步骤,或者向基板上沉积不同材料的不同的材料沉积步骤。
[0019]在该气体分配系统的一个优选实施方式中,在真空腔中的限定区域(优选地,等离子约束区域)的一定体积的气体构成,可以在很短的时间段内被引入该真空腔的另一种气体构成替换(即冲走)。通过在该气体分配系统中提供具有快速切换能力的阀门,这种气体替换可,优选地,在小于约1秒内完成,更优选地,在小于约200毫秒内完成。对于处理200毫米或300毫米晶片的等离子处理腔来说,该等离子约束区域可以具有约1/2升到约4升的体积。该等离子约束区域可由一叠约束环限定,像在共同持有的美国专利5,534,751中揭露的一样,其内容皆由引用而全部纳入此处。
[0020]图1描绘了可以使用该气体分配系统100的实施方式的典型的半导体材料等离子处理装置10。该装置10包含真空腔或等离子处理腔12,腔12具有包含基板支架14的内部,在等离子处理过程中,基板16位于该基板支架14上。该基板支架14包括夹持装置,优选地,是电子卡盘18,其是可操作的,以在处理过程中夹持基板支架14上的该基板16。该基板可以被定焦环和/或边沿环、地面突起或其他部件(例如共同持有的美国专利申请US 2003/0029567中揭露的部件,其内容皆由引用而全部纳入此处)围绕。
[0021]在一个优选实施方式中,该等离子处理腔12包括等离子约束区域,该等离子约束区域的体积为约1/2升到约4升,优选地,为约1升到约3升。例如,该等离子处理腔12可包括约束环装置以限定该等离子约束区域,例如在共同持有的美国专利5,534,751中揭露的,其内容皆由引用而全部纳入此处。该气体分配系统可以在小于约1秒优选小于约200毫秒的时间段内替换等离子约束区域中这么大体积的气体为另一种气体,而基本不会出现反扩散。约束机构,例如约束环120,可以限制该等离子体积与该处理装置12内部位于该等离子体积之外的部分的流体连通。
[0022]基板16可包括基底材料,例如硅晶片;底层材料上面的要被处理(例如刻蚀)的材料制成的中间层;以及该中间层上面的掩模层。该中间层可以是导电、电介质、或半导体材料。该掩模层可以是图形化的光阻材料,具有用于在该中间层和/或一个或多个其他层内刻蚀想要的特征(例如孔、通孔或沟槽)的开口样式。在该基底层和该掩模层之间,该基板可以包括其他由导电、电介质或半导体材料制成的层,取决于该基底材料上形成的半导体器件的类型。
[0023]典型的可以被处理的电介质材料是,例如,掺杂的氧化硅(例如氟化氧化硅)、非掺杂的氧化硅(例如二氧化硅)、旋涂玻璃(spin-on glass)、硅玻璃、掺杂或非掺杂热氧化硅以及掺杂或非掺杂的TEOS沉积氧化硅。这样的电介质材料可以覆盖到导体或半导体层上,例如多晶硅、金属(例如铝、铜、钛、钨、钼及其合金)、氮化物(例如氮化钛)和金属硅化物(例如硅化钛、硅化钨和硅化钼)。
[0024]图1中显示的典型的等离子处理装置10包括具有支撑板20的喷淋头电极总成(该支撑板20形成了等离子腔的壁)以及连接于该支撑板的喷淋头22。屏蔽总成位于该喷淋头22和该支撑板20之间以将工艺气体均匀地分配到该喷淋头的背侧28。该屏蔽总成可以具有一个或多个屏蔽板。在该实施方式中,该屏蔽总成包括屏蔽板30A、30B和30C。开放空间48A、48B和48C被限定于该屏蔽板30A、30B和30C之间,以及该屏蔽板30C和喷淋头22之间。该屏蔽板30A、30B和30C以及喷淋头22包括贯穿通道以将工艺气体流通到等离子处理腔12的内部。
[0025]第一频率调谐RF电源104可控地连接于控制器500并通过第一机械匹配盒106向该喷淋头电极22提供能量。该第一频率调谐RF电源104提供可变频率,在本实施方式中该频率范围为1.7MHz到2.2MHz,因此2MHz位于该可变频率范围内。形成该第一频率调谐RF电源是为了接收并测量输出能量和反射的RF能量,并使频率在1.7MHz到2.2MHz之间变化以最小化从该第一频率调谐RF电源104反射的RF能量。
[0026]第二频率调谐RF电源108可控地连接于控制器500并通过第二机械匹配盒110向该喷淋头电极22提供能量。该第二频率调谐RF电源108提供可变频率,在本实施方式中该频率范围为26.7MHz到27.2MHz,因此27MHz位于该可变频率范围内。形成该第二频率调谐RF电源是为了接收并测量输出能量和反射的RF能量,并使频率在26.7MHz到27.2MHz之间变化以最小化从该第二频率调谐RF电源108反射的RF能量。
[0027]第三频率调谐RF电源112可控地连接于控制器500并通过第三机械匹配盒114向该喷淋头电极22提供能量。该第三频率调谐RF电源112提供可变频率,在本实施方式中该频率范围为59.7MHz到60.2MHz,因此60MHz位于该可变频率范围内。形成该第三频率调谐RF电源112是为了接收并测量输出能量和反射的RF能量,并使频率在59.7MHz到60.2MHz之间变化以最小化从该第三频率调谐RF电源112反射的RF能量。
[0028]在本实施例中,该第一、第二和第三频率调谐RF电源的频率变化的幅度为0.5MHz,从而提供RF调谐。在其他实施方式中,该频率调谐RF电源变化的幅度小于2MHz。更优选地,该频率调谐RF电源的频率变化的幅度小于1MHz。该调谐幅度应当足够大,以最小化反射的能量,还应当足够小以允许快速调谐。
[0029]在该实施例中,该板20和该屏蔽板30A之间的空间以及该屏蔽板30A、30B和30C之间的该空间48A、48B和48C被O-环等密封装置38a、38b、38c、38d分割为内部区域42和外部区域46。气体分配系统100,优选地,在该控制器500的控制下,可以通过该气体分配系统100向该内部区域42和外部区域46供应具有各自不同的气体化学成分和/或气流速率的工艺气体。从内部区域气体供应40向该内部区域42供应气体,并从外部区域气体供应44将气体供应到环形通道44a,然后供应至该外部区域46。该工艺气体流过该屏蔽板30A、30B和30C以及该喷淋头22之间的通道并流入该等离子处理腔12的内部。
[0030]在其他优选实施方式中,等离子处理装置10可包括气体注射系统,以将工艺气体注射入该等离子处理腔。例如,该气体注射系统可以具有在共同持有的美国专利申请09/788,365、美国专利申请10/024,208、美国专利6,013,155或美国专利6,270,862中所揭露的配置,上述专利的内容皆由引用而全部纳入此处。
[0031]通过电源,例如驱动的电极22的RF电源,或该基板支架14内驱动电极的电源,在该等离子处理腔12中将该工艺气体能量化为等离子状态。当不同的气体构成被供应到该等离子处理腔12时,应用到电极22的RF电源可以变化,优选地,在小于约1秒的时间段内,更优选地,在小于200毫秒的时间段内。气体构成的改变可以改变来自气体的负载或阻抗。该第一、第二和第三RF电源104、108和112可具有机械阻抗匹配装置,但是这样的装置没有快到当供应不同的气体构成时在小于约1秒的时间段内可以匹配变化的阻抗。因此,该第一、第二和第三RF电源具有可变频率,并能够测量输出和反射的RF能量,并变化该频率以最小化反射的RF能量。最小化反射的RF能量可以通过匹配盒匹配来自该处理腔内的等离子体的负载的阻抗与该RF电源。
[0032]图2显示了一个优选实施方式,其中该气体分配系统100包括气体供应区200、气流控制区300以及气体交换区400,该三个区域互相之间流体连通。优选地,该气体分配系统100还包括控制器500(图1),该控制器500可控地连接于该气体供应区200、气流控制区300和气体交换区400,以控制其操作。
[0033]在该气体分配系统100中,该气体供应区200可以将不同的气体,例如第一和第二工艺气体,通过各自的第一和第二气体管线235、245供应到该气流控制区300。该第一和第二气体可以具有彼此不同的构成和/或气体流速。
[0034]该气流控制区300是可操作的,以控制供应到该气体交换区400的不同气体的流速,可选地,还可以调整气体的构成。该气流控制区300可以通过气体通道324、326和364、366将不同流速和/或化学成分的第一和第二气体分别供应到该交换区400。而且,供应到该等离子处理腔12的第一气体和/或第二气体(同时其他的气体可以被转移到旁路管线50中,该旁路管线50流体连通于真空泵系统,例如在涡轮泵和低真空泵之间)的流速和/或化学成分对于该内部区域42和该外部区域46可以是不同的。相应地,该气流控制区300可在基板16上提供想要的气流和/或气体化学成分,从而提高基板处理的一致性。
[0035]在该气体分配系统100中,交换区400是可操作的,以在一个很短的时间段内从该第一气体切换到该第二气体,从而允许在单一或多个区域(例如该内部区域42和该外部区域46)中用该第二气体代替该第一气体,同时将该第一气体转移到该旁路管线中,或者相反。优选地,该气体交换区400可在该第一和第二气体间切换,而在两种气流中都不会发生不想要的气压突变和气流的不稳定。如果需要,该气体分配系统100可以保持流经该等离子处理腔12的该第一和第二气体的大体恒定的连续体积的流速。
[0036]图3显示了该气体分配系统100的该气体供应区200的一种优选实施方式。该气体供应区200优选地连接于该控制器500,以控制气流控制元件(例如阀门和气流控制器)的操作,以允许对该气体供应区200供应的两种或多种气体的构成进行控制。在该实施方式中,该气体供应区200包括多个气体源202、204、206、208、210、212、214和216,每一个都流体连通于该第一气体管线235和该第二气体管线245。这样,该气体供应区200就可以向该等离子处理腔12供应多种不同的想要的气体混合物。该气体分配系统100内包括的气体源的数目不限于任何特定的气体源数目,但优选地包含至少两个不同的气体源。例如,该气体供应区200可以包括比图3中的实施方式包括的8个气体源更多或者更少的气体源。例如,该气体供应区200可包括2、3、4、5、10、12、16或更多的气体源。由各自的气体源供应的不同的气体包括单独气体(例如O2、Ar、H2、Cl2、N2等,以及气态的碳氟和/或氟代烃化合物,如CF4、CH3F等)。在一个优选实施方式中,该等离子处理腔是刻蚀腔而该气体源202-216可以供应Ar、O2、N2、Cl2、CH3、CF4、C4F8和CH3F或CHF3(以任何合适的顺序)。由各自的气体源202-216供应的特定的气体可以根据等离子处理腔12中想要进行的工艺(例如特定的干法刻蚀和/或材料沉积工艺)进行选择。该气体供应区200可以提供有关气体选择的广泛的应用,该气体可以供应以进行刻蚀工艺和/或材料沉积工艺。
[0037]优选地,该气体供应区200还包括至少一个调谐气体源,以调整气体构成。该调谐气体可以是,例如O2、氩等惰性气体或碳氟或氟代烃气体(如C4F8)等的反应气体。在图3所示的实施方式中,该气体供应区200包括第一调谐气体源218和第二调谐气体源219。如下所述,该第一调谐气体源218和第二调谐气体源219可以供应调谐气体,以调整供应到该气体交换区400的该第一和/或第二气体的构成。
[0038]在图3所示的该气体供应区200的实施方式中,优选地,气流控制装置240设置在与该气体源202、204、206、208、210、212、214和216分别流体连通的该气体通道222、224、226、228、230、232、234和236之中,以及在与该第一调谐气体源218和该第二调谐气体源219分别流体连通的该气体通道242、244中。该气流控制装置240是可操作的,以控制该相关联的气体源202-216以及218和219供应的气体的流动。优选地,该气流控制装置240为质量流量控制器(MFCs)。
[0039]在图3所示的实施方式中,阀门250、252位于该气体源202-216中的每一个的气体通道的下游。该阀门250、252可以选择性地打开或关闭(优选地在该控制器500的控制下),以允许不同的气体混合物流向该第一气体管线235和/或该第二气体管线245。例如,打开与该气体源202-216中的一个或多个气体源有关的该阀门252(同时,与该气体源202-216的其他的气体源有关的剩余的该阀门252保持关闭),可以将第一气体混合物供应到该第一气体管线235。同样地,打开与其他的该气体源202-216中的一个或多个气体源有关的该阀门250(同时,与该气体源202-216的其他的气体源有关的剩余该阀门250保持关闭),可以将第二气体混合物供应到该第二气体管线245。相应地,通过该气体供应区200的可控操作,可以将该第一和第二气体的各种混合物和质量流速供应到该第一气体管线235和该第二气体管线245。
[0040]在一个优选实施方式中,该气体供应区200是可操作的,以通过该第一气体管线235和该第二气体管线245分别提供该第一和第二气体的持续气流。该第一气体或该第二气体流向该等离子处理腔12,而另一气体被转移到该旁路管线。该旁路管线可与真空泵或类似装置相连。通过持续供应该第一和第二气体气流,该气体分配系统100可以完成气流的快速转换。
[0041]图4显示了该气体分配系统100的该气流控制区300的优选实施方式。该气流控制区300包括第一气流控制区305,其与来自该气体供应区200的该第一气体管线235流体连通,以及第二气流控制区315,其与来自该气体供应区200的该第二气体管线245流体连通。该气流控制区300是可操作的,以分别控制供应到该内部区域42和外部区域46的该第一气体的比率,同时该第二气体被转移到该旁路管线中,并分别控制供应到该内部区域42和外部区域46的该第二气体的比率,同时该第一气体被转移到该旁路管线中。该第一气流控制区305将第一气体管线235引入的该第一气体的气流分为该第一气体的两个分离的出口气流,该第二气流控制区315将第二气体管线245引入的该第二气体的气流分为该第二气体的两个分离的出口气流。该第一气流控制区305包括通过该交换系统400分别与该内部区域42和外部区域46流体连通的第一和第二气体通道324、326,该第二气流控制区315包括通过该交换系统400分别与该内部区域42和外部区域46流体连通的第一和第二气体通道364、366。
[0042]在一种优选配置中,该第一气流控制区305和该第二气流控制区315分别包括至少两个气流限制器。优选地,每个气流限制器对于穿过其中的气流有固定的限制尺寸。优选地,该气流限制器为孔。该气流限制器限制气流并在该孔的气体通道的上游和附近区域保持大体恒定的气压。优选地,该第一气流控制区305和该第二气流控制区315中的每一个都包括孔的网络,例如2个、3个、4个、5个或更多孔,优选地,每个都有不同的横断面限制尺寸,例如不同的直径或不同的横断面面积。该孔的限制尺寸小于该气体分配系统100的气流通道的其他部分的横断面面积。优选地,该孔为声波孔。优选地,该气流控制区300内的气流以严格的流动状态操作,从而,给定孔的气流传导可以由其限制尺寸和上游压力唯一确定。随着孔的气流传导的增加,通过该孔的减少使得该孔两侧的压力降低以获得给定的流速。
[0043]在图4所示的实施方式中,该第一和第二气流控制区305、315每一个都包括5个孔330、332、334、336和338。例如,孔330、332、334、336和338可以分别具有1、2、4、8和16的相对限制尺寸(例如直径)。相应地,当气流通过所有5个孔330-338时,该4个孔330-336的总的传导与单个孔338的传导大致相同。替代地,可以打开该4个孔330-336中的3个,以使得该孔330-336的总的传导的比率与该孔338的传导不同,以向该内部区域42和该外部区域46供应不同比率的该第一气体流和该第二气体流。
[0044]另一个实施方式包括不同数目的孔,例如总共两个孔,包括该孔338和第二孔,该第二孔替代该多个孔330-336。优选地,该第二孔具有和该孔338一样的限制尺寸。在这种实施方式中,供应到该内部区域42和该外部区域46的该第一气体和/或该第二气体的气流比率大致为1∶1。
[0045]优选地,阀门320分别位于该孔330-338中的每一个的上游,以控制该第一和第二气体向该孔的流动。例如,在该第一气流控制区305和/或该第二气流控制区315中,打开一个或多个该阀门320以允许该第一气体和/或该第二气体流向该关联的孔330-336中的一个或多个,而其他的阀门320打开以允许该第一和/或第二气体流向该孔338。
[0046]在该第一气流控制区305中,该孔330-336流体连通于该气体通道322。该气体通道322被分为该第一和第二气体通道324和326,其均与该气体交换区流体连通。一对阀门320位于该第一和第二气体通道324、326内以控制经由该第一控制区305内的该孔330-336中的一个或多个孔流向该内部区域42和/或该外部区域46的该第一气体的流动。在一个替代实施方式中,沿着该气体通道324、326的该对阀门320可以被一个单一的四路阀门代替。
[0047]在该第一气流控制区305中,沿着该气体通道319设置该孔338。该气体通道319被分为气体通道331、333,其分别与该第一和第二气体通道324、326流体连通。一对阀门320位于该气体通道331、333内以控制经由该孔338流向该第一和第二气体通道324、326的该第一气体的流动。在一个替代实施方式中,沿着该气体通道331、333的该对阀门320可以被一个单一的四路阀门代替。
[0048]在该第二气流控制区315中,沿该第一和第二气体通道364、366有一对阀门320,以控制经由该孔330-336中的一个或多个孔流向该等离子处理腔的该内部区域42和该外部区域46的该第二气体的流动。在一个替代实施方式中,沿着该气体通道364、366的该对阀门320可以被一个单一的四路阀门代替。
[0049]在该第二气流控制区315中,沿着该气体通道359设置该孔338。该气体通道359被分为气体通道372、374,其分别与该第一和第二气体通道364、366流体连通。一对阀门320位于该气体通道372、374内以控制经由该孔338流向该第一和/或第二气体通道364、366的该第二气体的流动。在一个替代实施方式中,沿着该气体通道372、374的该对阀门320可以被一个单一的四路阀门代替。
[0050]该气流控制区300中包括该孔330-338,以避免当该气体分配系统100将流入该等离子处理腔12的气体从该第一气体改变为该第二气体(或反过来)时,气流的压力突变或气流的不稳定。
[0051]在图4所示的实施方式中,该第一调谐气体源218(图3)的该气体通道242被设置为向该第一气流控制区305内的该第一气体通道324和/或第二气体通道326供应该第一调谐气体,以调节该第一气体构成。该第二调谐气体源219(图3)的该气体通道244被设置为向该第二气流控制区315内的该第一气体通道364和/或第二气体通道366供应该第二调谐气体,以调节该第二气体构成。该第一和第二调谐气体可以是相同的调谐气体或不同的调谐气体。
[0052]沿该气体通道242设置气流控制装置340(优选为MFC)。沿该气体通道337、339有阀门320,以分别控制流入该气体通道326、324的该第一调谐气体的流动。在一个替代实施方式中,沿该气体通道337、339的该对阀门320可以被一个单一的四路阀门代替。
[0053]沿该气体通道244设置气流控制装置340(优选为MFC)。沿该气体通道376、378有阀门320,以分别控制流入该气体通道366、364的该第二调谐气体的流动。在一个替代实施方式中,沿该气体通道376、378的该对阀门320可以被一个单一的四路阀门代替。
[0054]在图4所示的该气流控制区300的实施方式中,该第一气流控制区305和该第二气流控制区315包括布置为相同配置的相同的元件。然而,在该气体分配系统100的其他优选实施方式中,该第一和第二气流控制区305、315可以具有彼此不同的元件和/或不同的配置。例如,该第一和第二气流控制区305、315可以包括彼此不同数目的孔和/或具有不同限制尺寸的孔。
[0055]在该气体分配系统100中,该气体交换系统400流体连通于该气流控制区300和该真空腔的内部以及该第一和第二气体流向的该旁路管线。该气体交换系统400的第一优选实施方式如图5所示。该气体交换系统400可向该等离子处理腔12的该内部区域42和该外部区域46交替供应第一和第二气体。该气体分配系统400与该第一气流控制区305的该第一气体通道324和该第二气体通道326流体连通,并与该第二气流控制区315的该第一气体通道364和该第二气体通道366流体连通。沿着该气体通道324、326、364和366的每一个都有孔430,以防止该第一和第二气体的转换过程中发生不想要的压力突变。
[0056]该第一气流控制区305的该第一气体通道324被分为气体通道448、450,该第一气流控制区305的该第二气体通道326被分为气体通道442、444,该第二气流控制区315的该第一气体通道364被分为气体通道452、454,该第二气流控制区315的该第二气体通道366被分为气体通道456、458。在该实施方式中,该气体通道442与该等离子腔12的该外部区域46流体连通,该气体通道448与该等离子处理腔12的该内部区域42流体连通,且该气体通道444提供旁路管线。该气体通道456与通向该外部区域46的该气体通道442流体连通。该气体通道452与通向该内部区域42的该气体通道448流体连通。该气体通道450、454和458与通向该旁路管线的该气体通道444流体连通。
[0057]沿该气体通道442、444、448、450、452、454、456和458中的每一个都设置有阀门440。在一个替代实施方式中,沿该气体通道442、444、448、450、452、454、456和458的每一对阀门440都可以被一个单一的四路阀门代替。该阀门440可以选择性地打开与关闭,优选地,在该控制器500的控制下,以向该腔供应该第一或第二气体,同时将另一气体转移到该旁路管线中。
[0058]例如,为了向该等离子处理腔12的该内部区域42和该外部区域46供应该第一气体,并将该第二气体转移到该旁路管线中,沿该气体通道442、448和454、458的该阀门440被打开,而沿该气体通道444、450和452、456的该阀门440被关闭。为了切换气流,从而向该等离子处理腔12的该内部区域42和该外部区域46供应该第二气体,并将该第一气体转移到该旁路管线中,沿该气体通道444、450和452、456的该阀门440被打开,而沿该气体通道442、448和454、458的该阀门440被关闭。也就是说,第一组阀门440打开而第二组阀门440关闭以向该等离子处理腔12供应该第一气体,然后相同的第一组阀门440关闭而相同的第二组阀门440打开以改变气流而向该等离子处理腔供应该第二气体。
[0059]在该气体交换系统400中,该阀门440是快速切换阀门。此处使用的术语“快速切换阀门”指的是,在接收到该控制器500传来的打开或关闭信号后,可以在短时间内(优选地,小于约100毫秒,更优选的,小于约50毫秒)打开或关闭的阀门。优选地,通过接收来自该控制器500的打开或关闭信号来电气控制和驱动该阀门440。一种可以用在该气体交换系统400中的合适的“快速切换阀门”是阀门型号FSR-SD71-6.35,可从位于加利福尼亚圣克拉拉的Fujikin ofAmerica公司获得。
[0060]相应地,该气体交换系统400可向(比如说)该真空腔的内部供应该第一气体,同时将该第二气体转移到该旁路管线中,然后,优选地,在该控制器500的控制下,快速的切换这些气流并向该真空腔供应该第二气体而把该第一气体转移到该旁路管线中。气体交换之前供应到该真空腔的该第一或第二气体的时间长度可以由该控制器500控制。优选地,该关联的孔430和该阀门440之间的该气体通道324、326、364和366的体积小于约10立方厘米。正如上面所解释的,该气体分配系统可被用于包括等离子约束区域的等离子处理腔,以在小于约1秒的时间段(更优选地,在小于约200毫秒的时间段)内替换体积为约1/2升到4升的气体,从而稳定该系统。
[0061]根据第二优选实施方式的气体交换系统1400如图6所示。在该气体交换系统1400中,阀门440和位于该阀门440下游的孔430,沿着该气体通道442-458中的每一个进行设置。或者,该气体交换系统1400可具有跟该气体交换系统400相同的配置。该孔430防止气体切换期间出现不想要的气体突变。在一个替代实施方式中,沿该气体通道442、444、448、450、452、454和456、458设置的每一对阀门440都可以被一个单一的四路阀门代替。
[0062]根据第三优选实施方式的气体交换系统2400如图7所示。在此实施方式中,该气体交换系统2400与第一气体通道405和第二气体通道415流体连通。该第一和第二气体通道405、415可以分别是,例如,气流控制区的第一气体出口和第二气体出口,该气流控制区(不同于图4所示的该气流控制区300)不包含内部和外部区域气体出口。沿该第一气体通道405和第二气体通道415均设置有孔430。该第一气体通道405分为气体通道422、424,该第二气体通道445分为气体通道426、428。该气体通道422和426与真空腔的内部流体连通,而该气体通道424和428与旁路管线流体连通。沿该气体通道422、424和426、428中的每一个都有阀门440。在一个替代实施方式中,沿该气体通道422、424和426、428设置的每一对阀门440都可以被一个单一的四路阀门代替。
[0063]例如,为了将该第一气体供应到该真空腔,同时将该第二气体导向该旁路管线,沿该流体通道422和428的该阀门440打开,而沿该气体通道424和426的阀门该440关闭。为了交换该气流,以将该第二气体供应到该真空腔而将该第一气体转移到该旁路管线,沿该流体通道424和426的该阀门440打开,而沿该流体通道422和428的该阀门440关闭。
[0064]在该气体交换系统的另一个优选实施方式中,修改图7所示的实施方式,去掉该第一气体通道405和该第二气体通道415位于该阀门440上游的该孔430,而在该气体通道422、424、426和428的每一个中在该关联的阀门440的下游设置孔。
[0065]该气体分配系统100的优选实施方式可以被用来将不同气体化学成分和/或气流速率供应到该等离子处理腔12以进行各种刻蚀和/或沉积工艺。例如,该气体分配系统100可以将工艺气体供应到等离子处理腔以在氧化硅中刻蚀特征,例如在由UV光阻掩模等上覆基板保护下的SiO2层中。该SiO2层可以形成在半导体晶片上,例如具有200毫米或300毫米的直径的硅晶片。该特征可以是,例如,通孔和/或沟槽。在此刻蚀工艺中,需要在该掩模的一部分上沉积聚合物,以修复该掩模上的光条纹(striations),例如裂缝或裂隙(也就是说,填充该光条纹),以使得在该SiO2层中刻蚀的特征具有想要的形状,例如,使得通孔具有圆形横断面。如果光条纹不被修复,它们最终会到达该掩模下面的层,并在刻蚀过程中事实上被转移到该层。而且,该特征的侧壁上可能沉积聚合物。
[0066]然而,已经确定,沉积在侧壁上的聚合物和刻蚀特征的基底的厚度会影响刻蚀速率。在各向异性刻蚀工艺中,沉积在特征底部的聚合物在刻蚀过程中基本上被除去。然而,如果侧壁和/或基底的聚合物变得太厚的话,SiO2的刻蚀速率会减小,并可能完全停止。聚合物如果变得太厚的话,还可能从表面脱落。相应地,优选地,供应到该等离子处理腔以形成在该掩模和特征上沉积的聚合物的气体混合物的时间长度是被控制的,从而控制形成在该SiO2层上的聚合物沉积的厚度,同时对掩模还提供足够的修复和保护。在该SiO2层刻蚀过程中,聚合物被周期性地从该掩模上去除。相应地,优选地,在刻蚀该SiO2层的时间段之间在该掩模上沉积该聚合物,以确保对该掩模完成足够的修复和保护。
[0067]该气体分配系统100可被用来向等离子处理腔供应工艺气体,以刻蚀被上覆的掩模(如UV光阻掩模)保护的SiO2,同时控制沉积在特征上的聚合物的厚度,并对该基板进行修复和保护。该气体分配系统100的该气体交换系统是可操作的,以允许用于刻蚀该SiO2的第一工艺气体在第一时间段内被供应到该等离子处理腔内,同时将用于形成聚合物沉积的第二气体混合物转移到旁路管线,然后迅速交换气体流,将该第二气体混合物供应到该等离子处理腔以形成聚合物沉积,同时该第一气体混合物被转移到该旁路管线。优选地,供应到该等离子处理腔的等离子约束区域的该第一气体混合物在小于约1秒的时间段内,更优选地,在小于约200毫秒的时间段内,至少基本上被该第二气体混合物所取代。优选地,该等离子约束区域的体积为约1/2升到约4升。
[0068]用于刻蚀SiO2的该第一气体混合物可以包含,例如,C4F8等碳氟化合物类物质、O2和氩。C4F8/O2/氩的气流比率可以为,例如,20/10/500sccm。提供的能量具有60MHz、27MHz、2MHz的频率的组合,其功率范围为50到5000W。用于形成聚合物沉积的该第二气体混合物可包括,例如,CH3F等氟代烃类物质和氩。CH3F/氩的气流比率可以为,例如15/500sccm。该第二气体混合物能够可选地包括O2。提供的能量具有60MHz、27MHz、2MHz的频率的组合,其功率范围为50到5000W。对于用以处理200mm或300mm晶片的电容耦合等离子刻蚀反应器来说,该刻蚀气压可以是,例如,70-90毫托。优选地,每次该第一气体混合物被引入该腔时(这时该第二气体被转移到该旁路管线),其在约5秒到约20秒内流入该等离子处理腔,且优选地,每次该第二气体混合物被引入该腔时(这时该第一气体被转移到该旁路管线),其在约1秒到约3秒内流入该等离子处理腔。在基板上的SiO2的刻蚀过程中,刻蚀时间段和/或聚合物沉积时间段的长度可以在该优选时间段内增加或减少。优选地,在该刻蚀工艺中,该聚合物沉积达到小于100埃的最大厚度,该刻蚀工艺典型地持续大约3分钟。在刻蚀过程中,可以将聚合物沉积到该掩模上以修复光条纹并提供掩模保护。相应地,优选地,该掩模中的开口的形状在该刻蚀工艺过程中可以得到保持。
[0069]该第一、第二和第三机械匹配盒106、110、114被用于提供该第一、第二和第三频率调谐RF电源104、108、112和该等离子处理腔12内的负载之间的总阻抗匹配。该第一、第二和第三机械盒106、110、114不能准确匹配由快速改变的配方引起的快速改变的阻抗负载。因此,本发明使用由该第一、第二和第三频率调谐电源104、108、112提供的频率调谐来快速而准确地匹配该负载和该第一、第二和第三机械匹配盒106、110、114的快速变化的阻抗与该第一、第二和第三频率调谐RF电源104、108、112的阻抗。
[0070]因为该等离子状态必须要在沉积和成型(刻蚀)之间快速地切换,存在一些必须一起作用的硬件特征。气体的体积必须要小,以减少该处理腔内的气体转换的时间。这一点通过使用约束环使得等离子体积尽可能小来实现。而且,该RF生成器必须能够快速调谐至该快速变化的等离子状态。这一点通过使用电性频率调谐生成器而不是传统的机械匹配单元来实现。为了达到最好的临界尺寸控制(CD)和一致性控制,将主气体分开,且中心到边沿的气流的比率是可选择的。最后,需要一种调谐气体,该调谐气体可与该主气体相同或者不同,并可被送入到流向该晶圆的边沿或中心的可选的气流。因而,所有前述硬件的组合构成了本文所述的应用工艺想要的整体性能。
[0071]尽管本发明是通过几个优选实施方式来进行描述的,然而,存在其他的改变、置换和等同替换,这些均落入本发明的范围。还应当注意,存在很多实现本发明的方法和装置的替代方式。因此,所附权利要求意在被解释为包括所有这些落入本发明的真实精神和范围的改变、置换和等同替换。

Claims (31)

1.一种等离子晶片处理工具,包含:
等离子腔,其具有等离子约束区域和至少一个电极,该等离子约束区域具有一定体积;
用于提供第一气体和第二气体的气体分配系统,其中该气体分配系统可以在小于1秒的时间段内将该等离子区域中的该第一气体和该第二气体中的一种大体上替换为该第一气体和该第二气体中的另一种,其中在该等离子区域内由该第一气体形成的第一等离子体提供第一阻抗负载,而在该等离子区域内由该第二气体形成的第二等离子体提供不同于该第一阻抗负载的第二阻抗负载;
第一频率调谐RF电源,以向该至少一个电极提供在第一频率范围的能量,其中该第一频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量;及
第二频率调谐RF电源,以向该等离子腔提供在第二频率范围的能量,该第二频率范围在该第一频率范围以外,其中该第二频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量。
2.根据权利要求1所述的等离子晶片处理工具,其中该第一频率调谐RF电源能够提供第一频率,以阻抗匹配该第一阻抗负载,以及第二频率,以阻抗匹配该第二阻抗负载,其中该第一频率不同于该第二频率。
3.根据权利要求1-2中任一项所述的等离子晶片处理工具,其中该第二频率调谐RF电源能够提供第三频率以阻抗匹配该第一阻抗负载,以及第四频率以阻抗匹配该第二阻抗负载,其中该第四频率不同于该第一、第二和第三频率。
4.根据权利要求1-3中任一项所述的等离子晶片处理工具,其中该气体分配系统可以在小于200毫秒的时间段内将该等离子区域中的该第一气体或该第二气体大体上替换为该第一气体或该第二气体中的另一种。
5.根据权利要求1-4中任一项所述的等离子晶片处理工具,其中该等离子腔进一步包含:
该等离子腔内用于支撑晶片的基板支架;及
与该基板支架间隔小于3厘米的腔顶。
6.根据权利要求5所述的等离子晶片处理工具,进一步包含与该腔顶和该基板支架间隔开的约束环。
7.根据权利要求6所述的等离子晶片处理工具,其中该约束环、该基板支架和该腔顶的内部限定了该等离子区域。
8.根据权利要求1-7中任一项所述的等离子晶片处理工具,其中该第一频率调谐RF电源和该第二频率调谐RF电源在小于1MHz的范围内调谐该输出RF频率。
9.根据权利要求1-8中任一项所述的等离子晶片处理工具,其中该气体分配系统包含:
第一气体通道和第二气体通道,适于与第一气体管线流体连通;
第三气体通道和第四气体通道,适于与第二气体管线流体连通,该第一和第三气体通道适于向该真空腔供应气体,且该第二和第四气体通道适于向旁路管线供应气体;
沿该第一气体管道设置的第一快速切换阀门;
沿该第二气体管道设置的第二快速切换阀门;
沿该第三气体管道设置的第三快速切换阀门;
沿该第四气体管道设置的第四快速切换阀门;
该第一和第四快速切换阀门适于在该第二和第三快速切换阀门关闭时接收打开信号,从而该第一气体通过该第一气体管线和该第一和第三气体通道被供应到该真空腔,而该第二气体通过该第二气体管线和该第二和第四气体通道被供应到该旁路管线;及
该第二和第三快速切换阀门适于在该第一和第四快速切换阀门关闭时接收打开信号,从而该第二气体通过该第二气体管线和该第三气体通道被供应到该真空腔,而该第一气体通过该第一气体管线和该第二气体通道被供应到该旁路管线。
10.根据权利要求9所述的等离子晶片处理工具,其中该气体分配系统进一步包含:
第一气流限制器,适于沿着该第一气体管线设置到该第一和第二快速切换阀门的上游;及
第二气流限制器,适于沿着该第二气体管线设置到该第三和第四快速切换阀门的上游;
其中该第一和第二气流限制器适于在该第一和第二气体管线中该第一和第二气流限制器的上游附近区域保持近似恒定的气压。
11.根据权利要求10所述的等离子晶片处理工具,其中该第一气体管线在该第一气流限制器和该第一及第二快速切换阀门之间的体积小于约10立方厘米,且该第二气体管线在该第二气流限制器和该第三及第四快速切换阀门之间的体积小于约10立方厘米。
12.根据权利要求1-11中任一项所述的等离子晶片处理工具,其中该气体分配系统进一步包含:
第三气流限制器,适于沿着该第一气体通道设置到该第一快速切换阀门的下游;
第四气流限制器,适于沿着该第二气体通道设置到该第二快速切换阀门的下游;
第五气流限制器,适于沿着该第三气体通道设置到该第三快速切换阀门的下游;
第六气流限制器,适于沿着该第四气体通道设置到该第四快速切换阀门的下游;
其中该第三、第四、第五、第六气流限制器适于在该第一、第二、第三和第四气体通道中各自的该第一、第二、第三、第四、第五和第六气流限制器的上游附近区域保持近似恒定的气压。
13.根据权利要求9-12中任一项所述的等离子晶片处理工具,进一步包含可操作的控制器,以控制该第一、第二、第三和第四快速切换阀门的打开和关闭。
14.根据权利要求9-13中任一项所述的等离子晶片处理工具,其中该第一、第二、第三和第四快速切换阀门可以在收到信号后在小于约100毫秒的时间段内打开和/或关闭。
15.根据权利要求1-14中任一项所述的等离子晶片处理工具,进一步包含具有内部区域和外部区域的气体分配构件,该内部区域和外部区域彼此气流隔离。
16.根据权利要求15所述的等离子晶片处理工具,其中该气体分配系统包含:
气体供应系统,提供该第一气体和该第二气体;
气流控制系统,与该气体供应系统流体连通,其将该第一气体的气流分为该第一气体的内部区域气流和该第一气体的外部区域气流,并将该第二气体的气流分为该第二气体的内部区域气流和该第二气体的外部区域气流;及
交换区,在该气流控制系统和该气体分配构件的该内部区域和外部区域之间流体连通,其中该交换区将流向该气体分配构件的该内部区域的气流在该第一气体的该内部区域气流和该第二气体的该内部区域气流之间切换,且其中该交换区将流向该气体分配构件的该外部区域的气流在该第一气体的该外部区域气流和该第二气体的该外部区域气流之间切换。
17.根据权利要求16所述的等离子晶片处理工具,进一步包含旁路管线,其中该交换区还切换该第一气体的该内部区域气流、该第二气体的该内部区域气流、该第一气体的该外部区域气流和该第二气体的该外部区域气流到该旁路管线。
18.根据权利要求17所述的等离子晶片处理工具,其中该气流控制系统进一步包含调谐气体源,该调谐气体源在该第一气体的气流被分为该第一气体的该内部区域气流和该第一气体的该外部区域气流之后,与该第一气体的内部区域气流或该第一气体的外部区域气流中的至少一个流体连通。
19.一种等离子处理装置,包含:
等离子处理腔,其包括具有内部和外部区域的喷淋头电极总成,其内部体积为约1/2升到4升;
与该喷淋头电极总成的该内部和外部区域流体连通的气体分配系统,其中该气体分配系统是可操作的,能够在小于约1秒的时间段内将该等离子约束区域内的第一工艺气体或第二工艺气体替换为该第一工艺气体或该第二工艺气体中的另一种,包含:
气体供应系统,其提供该第一工艺气体和该第二工艺气体;及
气流控制系统,其与该气体供应系统流体连通,其将该第一工艺气体的气流分为该第一工艺气体的内部区域气流和该第一工艺气体的外部区域气流,并将该第二工艺气体的气流分为该第二工艺气体的内部区域气流和该第二工艺气体的外部区域气流;
交换区,在该气流控制系统和该气体分配构件的该内部区域和外部区域之间流体连通,其中该交换区将流向该气体分配构件的该内部区域的气流在该第一工艺气体的该内部区域气流和该第二工艺气体的该内部区域气流之间切换,且其中该交换区将流向该气体分配构件的该外部区域的气流在该第一工艺气体的该外部区域气流和该第二工艺气体的该外部区域气流之间切换。
第一频率调谐RF电源,以向该等离子处理装置提供在第一频率范围的能量,其中该第一频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量;及
第二频率调谐RF电源,以向该等离子处理装置提供在第二频率范围的能量,该第二频率范围在该第一频率范围以外,其中该第二频率调谐RF电源能够接受反射的RF能量并调谐输出RF频率以最小化该反射的RF能量。
20.根据权利要求19所述的等离子处理装置,进一步包含旁路管线,其中该交换区还切换该第一工艺气体的该内部区域气流、该第二工艺气体的该内部区域气流、该第一工艺气体的该外部区域气流和该第二工艺气体的该外部区域气流到该旁路管线。
21.根据权利要求19-20中任一项所述的等离子处理装置,其中该气流控制系统进一步包含调谐气体源,该调谐气体源在该第一工艺气体的气流被分为该第一工艺气体的该内部区域气流和该第一工艺气体的该外部区域气流之后,与该第一工艺气体的内部区域气流或第一工艺气体的外部区域气流中的至少一个流体连通。
22.根据权利要求19-21中任一项所述的等离子处理装置,其中该第一频率调谐RF电源能够提供第一频率,以阻抗匹配由该第一工艺气体形成的等离子体的第一阻抗负载,以及第二频率,以阻抗匹配由该第二等离子气体形成的等离子体的第二阻抗负载,其中该第一频率不同于该第二频率,且该第二频率调谐RF电源能够提供第三频率以阻抗匹配该第一阻抗负载,以及第四频率以阻抗匹配该第二阻抗负载,其中该第四频率不同于该第一、第二和第三频率。
23.一种在等离子处理腔中处理半导体结构的方法,包含:
a)将第一工艺气体供应到该等离子处理腔,同时将第二工艺气体转移到旁路管线,该等离子处理腔包含半导体基板,该半导体基板包括至少一层和覆盖在该层上的图形化光阻掩模;
b)将该第一工艺气体能量化以产生具有第一阻抗负载的第一等离子体并(i)在该层内刻蚀至少一个特征或(ii)在该掩模上形成聚合物沉积;
c)将第一RF电源频率调谐至第一频率,以匹配该第一阻抗负载;
d)将第二RF电源频率调谐至不同于该第一频率的第二频率,以匹配该第一阻抗负载;
e)交换该第一和第二工艺气体的气流,从而将该第二工艺气体供应到该等离子处理腔,同时将该第一工艺气体转移到该旁路管线,在小于约1秒的时间段内将该等离子处理腔的等离子约束区域内的该第一工艺气体大体替换为该第二工艺气体;
f)将该第二工艺气体能量化以产生具有不同于该第一阻抗负载的第二阻抗负载的第二等离子体并(iii)在该层内刻蚀该至少一个特征或(iv)在该层和该掩模上形成聚合物沉积;
g)将第一RF电源频率调谐至不同于该第一和第二频率的第三频率,以匹配该第二阻抗负载;
h)将第二RF电源频率调谐至不同于该第一、第二和第三频率的第四频率,以匹配该第二阻抗负载;
i)交换该第一和第二工艺气体的气流,从而将该第一工艺气体供应到该等离子处理腔,同时将该第二工艺气体转移到该旁路管线,在小于约1秒的时间段内将该等离子处理腔的等离子约束区域内的该第二工艺气体大体替换为该第一工艺气体;及
j)对该基板重复b)-i)多次。
24.根据权利要求23所述的方法,其中该小于约1秒的时间段小于200毫秒。
25.根据权利要求23-24中任一项所述的方法,其中在对基板重复a)-i)多次之后,形成的该聚合物沉积的最大厚度小于约100埃。
26.根据权利要求23-25中任一项所述的方法,进一步包含:
将该第一工艺气体的气流分为内部区域气流和外部区域气流,其中该将第一该工艺气体供应到该等离子处理腔的步骤将该内部区域气流提供到该处理腔的内部区域,并将该外部区域气流提供到该处理腔的外部区域。
27.根据权利要求26所述的方法,进一步包含将调谐气体提供到该第一工艺气体的该内部区域气流和该第一工艺气体的该外部区域气流中的至少一个,其中该调谐气体在该将该第一工艺气体的气流分开后提供。
28.根据权利要求23-27中任一项所述的方法,其中该第一等离子体在该层内刻蚀该至少一个特征,且该第二等离子体在该层和该掩模上形成沉积,该沉积修复该掩模内的光条纹。
29.根据权利要求23-28中任一项所述的方法,其中该等离子约束区域的体积为约1/2升到约4升。
30.根据权利要求23-29中任一项所述的方法,其中:
该第一层是SiO2
该掩模是UV-光阻掩模;
该第一工艺气体包含C4F8、O2和氩的混合物,且该第一等离子体刻蚀该层;及
该第二工艺气体包含CH3F,氩以及可选地O2的混合物,且该第二等离子体在该特征和该掩模上形成该聚合物沉积。
31.根据权利要求23-30中任一项所述的方法,其中调谐该第一RF电源到第一频率以匹配该第一阻抗负载的频率,和调谐该第一RF电源到第三频率以匹配该第二阻抗负载的频率,使用匹配盒以部分匹配该第一阻抗负载和第三阻抗负载,并使用频率调谐以提供该第一阻抗负载和该第二组抗负载的最终匹配。
CN2007800426835A 2006-11-17 2007-11-12 快速气体交换等离子处理装置 Active CN101563757B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/601,293 2006-11-17
US11/601,293 US20070066038A1 (en) 2004-04-30 2006-11-17 Fast gas switching plasma processing apparatus
PCT/US2007/084465 WO2008061069A1 (en) 2006-11-17 2007-11-12 Fast gas switching plasma processing apparatus

Publications (2)

Publication Number Publication Date
CN101563757A true CN101563757A (zh) 2009-10-21
CN101563757B CN101563757B (zh) 2011-07-13

Family

ID=39402006

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800426835A Active CN101563757B (zh) 2006-11-17 2007-11-12 快速气体交换等离子处理装置

Country Status (6)

Country Link
US (2) US20070066038A1 (zh)
JP (1) JP5014435B2 (zh)
KR (1) KR101432850B1 (zh)
CN (1) CN101563757B (zh)
TW (1) TWI417945B (zh)
WO (1) WO2008061069A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299045A (zh) * 2010-06-23 2011-12-28 周星工程股份有限公司 气体分配装置及包括该气体分配装置的基板处理设备
WO2013159433A1 (zh) * 2012-04-28 2013-10-31 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
CN104150431A (zh) * 2013-05-14 2014-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 进气系统及基片处理设备
CN104743503A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀工艺匹配方法、系统和设备
CN107221494A (zh) * 2016-03-22 2017-09-29 东京毅力科创株式会社 等离子体处理方法
CN107221493A (zh) * 2016-03-22 2017-09-29 东京毅力科创株式会社 等离子体处理方法
CN107622961A (zh) * 2016-07-15 2018-01-23 延世大学校产学协力团 利用二维纳米物质的半导体器件的制造装置及方法
CN112005355A (zh) * 2018-04-18 2020-11-27 朗姆研究公司 包含具有减少的盲管段的气体输送系统的衬底处理系统
CN112095088A (zh) * 2020-07-29 2020-12-18 苏州迈正科技有限公司 一种快速切换镀膜工艺气体的方法及设备

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US20070259130A1 (en) * 2004-06-08 2007-11-08 Hans Von Kaenel System for Low-Energy Plasma-Enhanced Chemical Vapor Deposition
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8721836B2 (en) 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8317450B2 (en) * 2008-10-30 2012-11-27 Lam Research Corporation Tactile wafer lifter and methods for operating the same
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8312839B2 (en) * 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8969838B2 (en) * 2009-04-09 2015-03-03 Asml Netherlands B.V. Systems and methods for protecting an EUV light source chamber from high pressure source material leaks
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102473634B (zh) * 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP5563860B2 (ja) * 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
CN103003924B (zh) * 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
JP5937385B2 (ja) * 2012-03-16 2016-06-22 東京エレクトロン株式会社 半導体製造装置のガス供給方法、ガス供給システム及び半導体製造装置
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
KR20160012302A (ko) 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6334369B2 (ja) 2014-11-11 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6316735B2 (ja) 2014-12-04 2018-04-25 東京エレクトロン株式会社 プラズマエッチング方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6541406B2 (ja) * 2015-04-21 2019-07-10 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6541596B2 (ja) * 2016-03-22 2019-07-10 東京エレクトロン株式会社 プラズマ処理方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11798803B2 (en) * 2019-05-15 2023-10-24 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP6851510B2 (ja) 2019-06-20 2021-03-31 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6345589B1 (en) * 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
WO1998000576A1 (en) * 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
GB9709659D0 (en) * 1997-05-13 1997-07-02 Surface Tech Sys Ltd Method and apparatus for etching a workpiece
TW416100B (en) * 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6337102B1 (en) * 1997-11-17 2002-01-08 The Trustees Of Princeton University Low pressure vapor phase deposition of organic thin films
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
GB9904925D0 (en) * 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
JP4120051B2 (ja) * 1998-07-31 2008-07-16 株式会社日立国際電気 高周波共振装置
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000195846A (ja) * 1998-12-25 2000-07-14 Fujitsu Ltd ドライエッチング方法およびドライエッチング装置
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
WO2001005020A1 (en) * 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP2001257198A (ja) * 2000-03-13 2001-09-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP3736322B2 (ja) 2000-04-26 2006-01-18 昭和電工株式会社 気相成長装置
KR20010108968A (ko) * 2000-06-01 2001-12-08 황 철 주 플라즈마 공정장치
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
JP2002081305A (ja) 2000-07-04 2002-03-22 Honda Motor Co Ltd 2サイクル内燃機関の潤滑方法
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6620733B2 (en) * 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20040027209A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Fixed matching network with increased match range capabilities
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7296532B2 (en) * 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
JP2005252057A (ja) * 2004-03-05 2005-09-15 Sumitomo Precision Prod Co Ltd エッチング装置
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102299045B (zh) * 2010-06-23 2015-11-25 周星工程股份有限公司 气体分配装置及包括该气体分配装置的基板处理设备
CN102299045A (zh) * 2010-06-23 2011-12-28 周星工程股份有限公司 气体分配装置及包括该气体分配装置的基板处理设备
WO2013159433A1 (zh) * 2012-04-28 2013-10-31 北京工业大学 一种利用调频的方式改善高频放电等离子体均匀性的方法
CN104150431A (zh) * 2013-05-14 2014-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 进气系统及基片处理设备
CN104743503A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀工艺匹配方法、系统和设备
CN104743503B (zh) * 2013-12-31 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀工艺匹配方法、系统和设备
TWI745356B (zh) * 2016-03-22 2021-11-11 日商東京威力科創股份有限公司 電漿處理方法
CN107221494A (zh) * 2016-03-22 2017-09-29 东京毅力科创株式会社 等离子体处理方法
CN107221493A (zh) * 2016-03-22 2017-09-29 东京毅力科创株式会社 等离子体处理方法
CN107221494B (zh) * 2016-03-22 2020-06-02 东京毅力科创株式会社 等离子体处理方法
CN107221493B (zh) * 2016-03-22 2020-06-02 东京毅力科创株式会社 等离子体处理方法
CN107622961A (zh) * 2016-07-15 2018-01-23 延世大学校产学协力团 利用二维纳米物质的半导体器件的制造装置及方法
CN107622961B (zh) * 2016-07-15 2021-05-25 延世大学校产学协力团 二维纳米物质的处理装置及其方法
CN112005355A (zh) * 2018-04-18 2020-11-27 朗姆研究公司 包含具有减少的盲管段的气体输送系统的衬底处理系统
CN112095088A (zh) * 2020-07-29 2020-12-18 苏州迈正科技有限公司 一种快速切换镀膜工艺气体的方法及设备
CN112095088B (zh) * 2020-07-29 2023-05-16 苏州迈正科技有限公司 一种快速切换镀膜工艺气体的方法及设备

Also Published As

Publication number Publication date
CN101563757B (zh) 2011-07-13
KR20090082493A (ko) 2009-07-30
US20070066038A1 (en) 2007-03-22
US8343876B2 (en) 2013-01-01
JP5014435B2 (ja) 2012-08-29
JP2010510669A (ja) 2010-04-02
US20110281435A1 (en) 2011-11-17
WO2008061069A1 (en) 2008-05-22
TWI417945B (zh) 2013-12-01
TW200837809A (en) 2008-09-16
KR101432850B1 (ko) 2014-09-23

Similar Documents

Publication Publication Date Title
CN101563757B (zh) 快速气体交换等离子处理装置
US8673785B2 (en) Gas distribution system having fast gas switching capabilities
US8772171B2 (en) Gas switching section including valves having different flow coefficients for gas distribution system
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
KR101061630B1 (ko) 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant