KR101061630B1 - 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법 - Google Patents

가스 공급 장치, 기판 처리 장치 및 기판 처리 방법 Download PDF

Info

Publication number
KR101061630B1
KR101061630B1 KR1020080106003A KR20080106003A KR101061630B1 KR 101061630 B1 KR101061630 B1 KR 101061630B1 KR 1020080106003 A KR1020080106003 A KR 1020080106003A KR 20080106003 A KR20080106003 A KR 20080106003A KR 101061630 B1 KR101061630 B1 KR 101061630B1
Authority
KR
South Korea
Prior art keywords
gas
processing
additional
substrate
gas supply
Prior art date
Application number
KR1020080106003A
Other languages
English (en)
Other versions
KR20090045856A (ko
Inventor
노리이키 마스다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090045856A publication Critical patent/KR20090045856A/ko
Application granted granted Critical
Publication of KR101061630B1 publication Critical patent/KR101061630B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages

Abstract

본 발명에서는, 간이한 배관구성을 채용하면서, 기판의 가장 바깥둘레의 특성보정을 유효하게 실행한다.
가스 공급 장치(60)는 샤워헤드(16)와, 처리 가스를 샤워헤드(16)를 향해 공급하는 처리 가스 공급부(66)와, 처리 가스 공급부(66)로부터의 처리 가스를 흘리는 처리 가스 공급 유로(64)와, 처리 가스 공급 유로(64)로부터 분기해서 샤워헤드(16)에 처리 가스를 공급하는 분기 유로(64a, 64b)와, 부가 가스를 샤워헤드(16)를 향해 공급하는 부가 가스 공급부(75)와, 부가 가스 공급부(75)로부터의 부가 가스를 샤워헤드(16)에 흘리는 부가 가스 공급 유로(76)를 구비하고, 샤워헤드(16)는 웨이퍼 W의 배치 영역에 가스를 공급하는 제 1, 제 2 가스 도입부(51, 52)와, 웨이퍼 W의 바깥가장자리보다도 외측에 가스를 공급하는 제 3 가스 도입부(53)를 갖고, 분기 유로(64a, 64b)는 제 1, 제 2 가스 도입부(51, 52)에 접속되고, 부가 가스 공급 유로(76)는 제 3 가스 도입부(53)에 접속되어 있다.

Description

가스 공급 장치, 기판 처리 장치 및 기판 처리 방법{GAS SUPPLY DEVICE, SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD}
본 발명은 기판에 대해 플라즈마 에칭 등의 기판 처리를 실행할 때에, 처리용기에 가스를 공급하는 가스 공급 장치와 이러한 가스 공급 장치를 포함하는 기판 처리 장치, 및 기판 처리 방법에 관한 것이다.
반도체 장치나 액정 표시 장치 등의 전자 디바이스의 제조 프로세스에 있어서는 기판의 표면에 소정의 막을 형성하는 성막 처리나, 기판 상에 형성된 막을 소정 패턴으로 가공하는 에칭 처리 등의 기판 처리가 실행된다.
이러한 기판 처리에 있어서는 높은 반응성을 얻는 관점으로부터 플라즈마가 이용되는 경우가 있고, 특히 에칭 처리에는 플라즈마 에칭 장치가 다용되고 있다. 플라즈마 에칭 장치는 기판을 수용하는 처리용기 내에, 기판을 탑재하는 하부 전극과, 하부 전극과 대향해서 마련되고, 하부 전극의 기판을 향해 가스를 분출하는 샤워헤드를 구성하는 상부 전극을 갖고 있다. 그리고 샤워 헤드로부터 소정의 혼합 가스를 분출하면서 양 전극 간에 고주파 전계를 형성하여 플라즈마를 생성하고, 이 플라즈마에 의해 기판상의 막을 에칭한다.
이러한 플라즈마 에칭 장치에 있어서, 에칭 레이트나 에칭 선택비 등의 에칭 특성은 기판상에 공급되는 가스 농도에 영향을 받기 때문에, 에칭 특성을 기판면내에 있어서 균일하게 하는 관점으로부터, 기판면내에 있어서 가스 분포를 조정하는 방법이 각종 제안되고 있다.
예를 들면 특허문헌 1에는 비교적 간단한 배관 구성으로 처리 용기의 복수개소에 임의의 혼합 가스를 공급하여 가스 분포를 조정하는 기술이 개시되어 있다. 또한, 특허문헌 2에는 처리 가스를 제 1 및 제 2 유로로 분기시켜 샤워헤드의 제 1 및 제 2 부위로부터 토출하도록 하고, 이들 유로를 거쳐서 소정의 부가 가스를 흘릴 수 있도록 하고, 가스 성분이나 유량을 조정하여, 간단한 배관 구성 및 제어로 처리용기의 복수 개소에 임의의 혼합 가스를 공급하여 가스 분포를 조정하는 기술이 개시되어 있다. 또한, 특허문헌 3에는 처리 가스를 제 1 및 제 2 유로로 분기시켜 샤워헤드의 제 1 및 제 2 부위로부터 토출하도록 하고, 그것과는 별개로 소정의 부가 가스를 토출 가능하게 하여, 더욱 높은 자유도로 가스 성분이나 유량을 조정하고, 간단한 배관 구성 및 제어로 처리 용기의 복수 개소에 임의의 혼합 가스를 공급하여 가스 분포를 조정하는 기술이 개시되어 있다.
(특허문헌 1) 일본국 특허공개공보 제2006-165399호
(특허문헌 2) 일본국 특허공개공보 제2007-207808호
(특허문헌 3) 일본국 특허공개공보 제2007-214295호
그러나 기판의 면내 균일성의 스펙이 더욱 엄격해지고 있고, 특히, 기판의 에지의 가장 바깥둘레의 특성 보정을 실행하는 것이 곤란하고, 단지 상기 기술을 채용한 것만으로는 면내 균일성이 충분하다고 할 수 없는 경우가 생긴다. 또한, 프로세스에 의해서는 부가 가스를 이용할 필요가 없는 경우도 있지만, 상기 특허문헌 3과 같이 부가 가스의 라인을 별도로 마련했을 때에는 부가 가스를 이용하지 않는 경우에, 부가 가스 토출용의 토출 구멍에 퇴적이 생기거나 토출 구멍 부근에서 이상 방전이 생긴다고 하는 문제가 생길 우려가 있다.
본 발명은 이러한 사정을 감안해서 이루어진 것으로써, 간이<簡易>한 배관 구성을 채용하면서, 기판의 가장 바깥둘레의 특성 보정을 유효하게 실행할 수 있는 가스 공급 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 기판의 가장 바깥둘레의 특성을 유효하게 보정하여 기판의 면내에서 균일한 처리를 실행하는 것이 가능한 기판 처리 장치 및 기판 처리 방법을 제공하는 것을 목적으로 한다.
또한, 본 발명은 처리 가스 이외에 부가 가스를 공급할 수 있고, 부가 가스를 공급하지 않는 경우에 데포나 이상 방전이 잘 발생하지 않는 가스 공급 장치와 기판 처리 장치 및 기판 처리 방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해, 본 발명의 제 1 관점에서는 피처리 기판이 배치된 처리실내에 가스를 공급하는 가스 공급 장치로서, 상기 처리실내에 있어서, 그 중에 배치된 피처리 기판에 대향해서 마련되고, 상기 처리실내에 가스를 도입하는 복수의 가스 도입부를 갖는 가스 도입 부재와, 피처리 기판을 처리하는 처리 가스를 상기 가스 도입 부재를 향해 공급하는 처리 가스 공급부와, 상기 처리 가스 공급부로부터의 처리 가스를 흘리는 처리 가스 공급 유로와, 상기 처리 가스 공급 유로로부터 분기하고, 상기 가스 도입 부재에 접속되며, 소정의 분류 비율로 상기 처리 가스를 공급하는 복수의 분기 유로와, 상기 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 상기 가스 도입 부재를 향해 공급하는 부가 가스 공급부와, 상기 부가 가스 공급부로부터의 부가 가스를 흘리고, 상기 가스 도입 부재에 접속된 부가 가스 공급 유로를 구비하고, 상기 복수의 가스 도입부는 상기 피처리 기판의 배치 영역에 가스를 공급하는 복수의 내측 가스 도입부와, 상기 피처리 기판의 바깥가장자리보다도 외측의 영역에 가스를 도입하는 외측 가스 도입부를 갖고, 상기 복수의 분기 유로는 상기 복수의 내측 가스 도입부에 접속되고, 상기 부가 가스 공급 유로는 상기 외측 가스 도입부에 접속되어 있는 것을 특징으로 하는 가스 공급 장치를 제공한다.
상기 제 1 관점에 있어서, 상기 가스 도입 부재는 복수의 가스 도입부가 동심 형상으로 마련되고, 가장 외측이 상기 외측 가스 도입부이며, 그 내측에 복수의 내측 가스 도입부가 배치되어 있는 구성으로 할 수 있다. 또한, 상기 가스 도입 부 재는 내부에 가스 확산 공간을 갖고, 하부벽에 복수의 가스 토출 구멍을 갖는 샤워헤드를 구성하고, 상기 가스 확산 공간이 상기 복수의 가스 도입부에 대응하여 복수의 가스 확산실로 구획되어 있는 구성으로 할 수 있다. 그리고 상기 가스 도입 부재의 상기 복수의 가스 도입부는 상기 내측 가스 도입부로서, 상기 피처리 기판의 중심 영역에 상기 처리 가스를 도입하는 제 1 가스 도입부와, 상기 피처리 기판의 주변 영역에 상기 처리 가스를 도입하는 제 2 가스 도입부를 갖고, 상기 제 2 가스 도입부의 외측에 상기 외측 가스 도입부로서 제 3 가스 도입부를 갖고, 상기 복수의 분기 유로는 제 1 분기 유로와 제 2 분기 유로를 갖고, 이들은 각각 상기 제 1 가스 도입부 및 상기 제 2 가스 도입부에 접속되어 있는 구성으로 할 수 있다.
또한, 상기 외측 가스 도입부에 상기 부가 가스와 상기 처리 가스를 선택적으로 공급 가능한 전환 기구를 가져도 좋고, 그 경우에, 상기 전환 기구는 상기 부가 가스 공급 유로와 상기 처리 가스 공급 유로 또는 어느 하나의 상기 분기 유로를 연결하는 바이패스 배관과, 상기 부가 가스 공급 유로측 및 상기 바이패스 배관 측의 접속을 선택적으로 전환하는 바이패스 밸브를 갖는 구성으로 할 수 있다.
본 발명의 제 2 관점에서는 피처리 기판이 배치된 처리실내에 가스를 공급하는 가스 공급 장치로서, 피처리 기판을 처리하는 처리 가스를 상기 처리실을 향해 공급하는 처리 가스 공급부와, 상기 처리실에 처리 가스를 도입하는 처리 가스 도입부와, 상기 처리 가스 공급부로부터의 처리 가스를 상기 처리 가스 도입부에 공급하는 처리 가스 공급로와, 상기 처리 가스에 의한 처리 특성을 조정하기 위한 부 가 가스를 상기 처리실을 향해 공급하는 부가 가스 공급부와, 상기 처리실에 부가 가스를 도입하는 부가 가스 도입부와, 상기 부가 가스 공급부로부터의 부가 가스를 상기 부가 가스 도입 부위에 공급하는 부가 가스 공급로와, 상기 부가 가스를 처리용기 내에 도입하지 않는 경우에, 상기 부가 가스 도입 부위에 처리 가스가 흐르도록 전환하는 전환기구를 구비하는 것을 특징으로 하는 가스 공급 장치를 제공한다.
상기 제 2 관점에 있어서, 상기 전환기구는 상기 부가 가스 공급 유로와 상기 처리 가스 공급 유로를 연결하는 바이패스 배관과, 상기 부가 가스 공급 유로 측 및 상기 바이패스 배관 측의 접속을 선택적으로 전환하는 바이패스 밸브를 갖는 구성으로 할 수 있다.
또한, 상기 제 2 관점에 있어서, 상기 처리 가스 공급로는 상기 처리 가스 도입부로부터 연장하는 주 유로와, 주 유로로부터 분기한 복수의 분기 유로를 갖고, 상기 처리 가스 도입부는 상기 분기 유로에 대응해서 복수 마련되어 있는 구성으로 할 수 있다. 이 경우에, 상기 처리실내에 있어서, 그 중에 배치된 피처리 기판에 대향해서 마련되고, 상기 처리실내에 가스를 도입하는 가스 도입 부재를 더 구비하고, 상기 가스 도입 부재는 상기 복수의 처리 가스 도입부 및 상기 부가 가스 도입부를 갖고 있는 구성으로 할 수 있다. 상기 가스 도입 부재는 내부에 가스 확산 공간을 갖고, 하부벽에 복수의 가스 토출 구멍을 갖는 샤워헤드를 구성하고, 상기 가스 확산 공간이 상기 복수의 처리 가스 도입부 및 상기 부가 가스 도입부에 대응해서 복수의 가스 확산실로 구획되어 있는 구성으로 할 수 있다. 그리고 상기 가스 도입 부재의 상기 복수의 처리 가스 도입부는 상기 피처리 기판의 중심 영역 에 상기 처리 가스를 도입하는 제 1 가스 도입부와, 상기 피처리 기판의 주변 영역에 상기 처리 가스를 도입하는 제 2 가스 도입부를 갖고, 상기 부가 가스 도입부는 상기 제 2 가스 도입부의 외측에 마련되고, 상기 피처리 기판의 바깥가장자리보다도 외측의 영역에 부가 가스를 공급하는 구성으로 할 수 있다.
상기 제 1, 제 2 관점에 있어서, 상기 부가 가스는 상기 처리 가스와는 다른 가스로 할 수 있다. 또한, 상기 처리 가스는 복수의 가스를 포함하고, 상기 부가 가스는 이들 복수의 가스와 다른 가스로 할 수 있으며, 또한 상기 처리 가스를 구성하는 가스의 일부로 할 수도 있다.
본 발명의 제 3 관점에서는 그 중에 피처리 기판이 배치되는 처리실과, 상기 처리실내에 가스를 공급하는 가스 공급 장치를 구비하고, 상기 가스 공급 장치로부터 피처리 기판을 처리하기 위한 처리 가스를 상기 처리실내에 공급하여 피처리 기판에 소정의 처리를 실시하는 기판 처리 장치로서, 상기 가스 공급 장치는, 상기 처리실내에 있어서, 그 중에 배치된 피처리 기판에 대향해서 마련되고, 상기 처리실내에 가스를 도입하는 복수의 가스 도입부를 갖는 가스 도입 부재와, 피처리 기판을 처리하는 처리 가스를 상기 가스 도입 부재를 향해 공급하는 처리 가스 공급부와, 상기 처리 가스 공급부로부터의 처리 가스를 흘리는 처리 가스 공급 유로와, 상기 처리 가스 공급 유로로부터 분기하고, 상기 가스 도입 부재의 상기 복수의 가스 도입부에 접속되고, 소정의 분류 비율로 상기 처리 가스를 공급하는 복수의 분기 유로와, 상기 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 상기 가스 도입 부재를 향해 공급하는 부가 가스 공급부와, 상기 부가 가스 공급부로부 터의 부가 가스를 흘리고, 상기 가스 도입 부재에 접속된 부가 가스 공급 유로를 구비하고, 상기 가스 도입 부재는 상기 처리실에 배치된 피처리 기판의 바깥가장자리보다도 외측의 영역에 가스를 도입하는 외측 가스 도입부를 갖고, 상기 부가 가스 공급 유로는 상기 외측 가스 도입부에 접속되어 있는 것을 특징으로 하는 기판 처리 장치를 제공한다.
본 발명의 제 4 관점에서는 그 중에 피처리 기판이 배치되는 처리실과, 상기 처리실내에 가스를 공급하는 가스 공급 장치를 구비하고, 상기 가스 공급 장치로부터 피처리 기판을 처리하기 위한 처리 가스를 상기 처리실내에 공급하여 피처리 기판에 소정의 처리를 실시하는 기판 처리 장치로서, 상기 가스 공급 기구는, 피처리 기판을 처리하는 처리 가스를 상기 처리실을 향해 공급하는 처리 가스 공급부와, 상기 처리실에 처리 가스를 도입하는 처리 가스 도입부와, 상기 처리 가스 공급부로부터의 처리 가스를 상기 처리 가스 도입부에 공급하는 처리 가스 공급로와, 상기 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 상기 처리실을 향해 공급하는 부가 가스 공급부와, 상기 처리실에 부가 가스를 도입하는 부가 가스 도입부와, 상기 부가 가스 공급부로부터의 부가 가스를 상기 부가 가스 도입 부위에 공급하는 부가 가스 공급로와, 상기 부가 가스를 처리용기내에 도입하지 않는 경우에, 상기 부가 가스 도입 부위에 처리 가스가 흐르도록 전환하는 전환기구를 구비하는 것을 특징으로 하는 기판 처리 장치를 제공한다.
상기 제 3, 제 4 관점에 있어서, 상기 기판 처리 장치는 상기 처리 가스와 상기 부가 가스의 플라즈마를 생성하는 플라즈마 생성 기구를 더 구비하고, 생성한 플라즈마에 의해 피처리 기판을 처리하는 것으로 할 수 있다.
본 발명의 제 5 관점에서는 피처리 기판이 배치된 처리용기내에 처리 가스를 도입하여 피처리 기판에 소정의 처리를 실시하는 기판 처리 방법으로서, 상기 피처리 기판의 배치 영역에 소정의 분류 비율로 처리 가스를 복수 부위로부터 공급하고, 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 상기 복수 부위보다도 외측의 외측부위로부터 상기 피처리 기판의 바깥가장자리보다도 외측의 영역에 공급하여, 피처리 기판에 처리를 실시하는 것을 특징으로 하는 기판 처리 방법을 제공한다.
상기 제 5 관점에 있어서, 상기 복수 부위는 동심 형상으로 마련되고, 상기 외측 부위는 상기 복수 부위의 외측에 동심 형상으로 마련되어 있는 구성을 취할 수 있다. 이 경우에, 상기 복수 부위는 상기 피처리 기판의 중심 영역에 대응하는 제 1 부위와, 상기 피처리 기판의 주변 영역에 대응하는 제 2 부위를 갖는 것으로 할 수 있다.
또한, 상기 제 5 관점에 있어서, 상기 기판 처리는 피처리 기판의 소정의 막을 플라즈마 에칭하는 플라즈마 에칭 처리로 할 수 있다. 이 경우에, 상기 부가 가스는 상기 처리실내의 피처리 기판의 둘레 가장자리 부분에 있어서 반응 생성물이 저하하는 경우에, 반응 생성물을 생성하는 성분을 포함하는 가스이어도 좋고, 또한, 상기 부가 가스는 상기 처리실내의 피처리 기판의 둘레 가장자리 부분에 있어서 피에칭막의 막중 성분이 저하하는 경우에, 막중 성분을 생성하는 가스이어도 좋다.
본 발명의 제 6 관점에서는 피처리 기판이 배치된 처리실내에 처리 가스를 도입하여 피처리 기판에 소정의 처리를 실시하는 기판 처리 방법으로서, 상기 처리 가스를 처리 가스 도입부로부터 상기 처리실의 피처리 기판의 배치 영역에 도입하고, 또한 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 부가 가스 도입부로부터 상기 처리실내에 도입하여 피처리 기판에 처리를 실시하는 공정과, 상기 처리 가스를 처리 가스 도입부로부터 상기 처리실의 피처리 기판의 배치 영역에 도입하고, 상기 부가 가스를 도입하지 않고 피처리 기판에 처리를 실시하는 공정을 포함하고, 상기 부가 가스를 도입하지 않고 피처리 기판에 처리를 실시하는 공정은 상기 부가 가스 도입부로부터 처리 가스를 공급하면서 실행하는 것을 특징으로 하는 기판 처리 방법을 제공한다.
본 발명에 의하면, 처리실내에 마련한 가스 도입 부재를, 복수의 내측 가스 도입부와, 외측 가스 도입부를 갖는 구성으로 하고, 복수의 내측 가스 도입부로부터 피처리 기판의 배치 영역에 분류량을 조정하여 처리 가스를 공급하고, 외측 가스 도입부로부터 피처리 기판의 바깥가장자리보다도 외측의 영역에 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 흘리도록 했으므로, 피처리 기판의 가장 바깥둘레 부분에 있어서의 처리 특성을 유효하게 보정하여 최적화할 수 있고, 처리 특성을 더욱 균일하게 할 수 있다. 즉, 피처리 기판의 둘레 가장자리 영역에 부가 가스를 공급해도, 피처리 기판의 가장 바깥둘레 부분의 처리 특성을 보정하는 것이 곤란하지만, 부가 가스를 피처리 기판의 바깥가장자리로부터 외측의 부분에 공급하면, 부가 가스가 피처리 기판의 가장 바깥둘레 부분에 유효하게 작용하여 그 부분의 처리 특성을 보정할 수 있다.
또한, 처리실에 처리 가스를 도입하는 처리 가스 도입부와, 부가 가스를 도입하는 부가 가스 도입부를 마련하고, 피처리 기판에 처리 가스 및 부가 가스를 공급 가능한 구성에 있어서, 부가 가스를 처리용기 내에 도입하지 않는 경우에, 부가 가스 도입부에 처리 가스가 흐르도록 전환하는 전환 기구를 마련했으므로, 부가 가스를 도입하지 않는 경우에 부가 가스 도입부로부터 처리 가스를 흘릴 수 있고, 처리 중에 부가 가스 도입부의 가스 토출 구멍에 아무것도 통류하지 않는 것을 저지할 수 있고, 부가 가스 도입부의 가스 토출 구멍에 데포가 생기거나, 처리가 플라즈마 처리인 경우에, 가스 토출 구멍에서 이상 방전이 생기는 문제를 방지할 수 있다.
이하, 첨부 도면을 참조하여, 본 발명의 실시 형태에 대해 설명한다. 도 1은 본 발명의 1실시 형태에 관한 가스 공급 장치가 적용된 기판 처리 장치로서의 플라즈마 에칭 장치를 나타내는 개략 단면도이며, 도 2는 도 1의 장치에 있어서의 샤워헤드의 저면도이다.
이 플라즈마 에칭 장치는 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있고, 기밀하게 구성되며, 대략 원통형상을 이루고, 벽부가 예를 들면 표면 이 산화 처리된 알루미늄제의 챔버(1)를 갖고 있다. 이 챔버(1)는 접지되어 있다.
이 챔버(1)내에는 피처리 기판인 반도체 웨이퍼(이하, 단지 웨이퍼라 함) W를 수평으로 지지하는 동시에 하부 전극으로서 기능하는 지지 테이블(2)이 마련되어 있다. 지지 테이블(2)은 예를 들면 표면이 산화 처리된 알루미늄으로 구성되어 있고, 챔버(1)의 저벽으로 부터 돌출된 지지부(3)상에 절연 부재(4)를 거쳐서 지지되어 있다. 또한, 지지 테이블(2)의 위쪽의 바깥 가장자리에는 도전성 재료 또는 절연성 재료로 형성된 포커스 링(5)이 마련되어 있다. 포커스 링(5)의 외측에는 배플판(14)이 마련되어 있다. 또한, 지지 테이블(2)과 챔버(1)의 저벽의 사이에는 공동부(空洞部)(7)가 형성되어 있다.
지지 테이블(2)의 표면상에는 웨이퍼 W를 정전 흡착하기 위한 정전 척(6)이 마련되어 있다. 이 정전 척(6)은 절연체(6b)의 사이에 전극(6a)이 개재되어 구성되어 있고, 전극(6a)에는 직류 전원(13)이 접속되어 있다. 그리고 전극(6a)에 전원(13)으로부터 전압이 인가되는 것에 의해, 예를 들면 쿨롱력에 의해서 반도체 웨이퍼 W가 흡착된다.
지지 테이블(2)내에는 냉매유로(8a)가 마련되고, 이 냉매유로(8a)에는 냉매배관(8b)이 접속되어 있으며, 냉매 제어 장치(8)에 의해, 적절한 냉매가 이 냉매배관(8b)을 거쳐서 냉매유로(8a)에 공급되고, 순환되도록 되어 있다. 이것에 의해, 지지 테이블(2)이 적절한 온도로 제어 가능하게 되어 있다. 또한, 정전 척(6)의 표면과 웨이퍼 W의 이면의 사이에 열 전달용의 전열 가스, 예를 들면 He 가스를 공급하기 위한 전열 가스 배관(9a)이 마련되고, 전열 가스 공급 장치(9)로부터 이 전열 가스 배관(9a)을 거쳐서 웨이퍼 W 이면에 전열 가스가 공급되도록 되어 있다. 이것에 의해, 챔버(1)내가 배기되어 진공으로 유지되어 있어도, 냉매유로(8a)에 순환되는 냉매의 냉열을 웨이퍼 W에 효율 좋게 전달시킬 수 있고, 웨이퍼 W의 온도 제어성을 높일 수 있다.
지지 테이블(2)의 대략 중앙에는 고주파 전력을 공급하기 위한 급전선(12)이 접속되어 있고, 이 급전선(12)에는 정합기(11) 및 고주파 전원(10)이 접속되어 있다. 고주파 전원(10)으로부터는 소정의 주파수, 예를 들면 10㎒ 이상의 고주파 전력이 지지 테이블(2)에 공급되도록 되어 있다. 한편, 하부 전극으로서 기능하는 지지 테이블(2)에 대향하여 그 위쪽에는 후술하는 샤워헤드(16)가 서로 평행하게 마련되어 있고, 이 샤워헤드(16)는 챔버를 거쳐서 접지되어 있다. 따라서,샤워 헤드(16)는 상부 전극으로서 기능하여, 지지 테이블(2)과 함께 한쌍의 평행 평판 전극을 구성하고 있다.
상기 샤워헤드(16)는 챔버(1)의 천정벽 부분에 끼워 넣어져 있다. 이 샤워 헤드(16)는 챔버(1)내의 웨이퍼 중심 영역(센터 영역)에 가스를 도입하는 제 1 가스 도입부(51)와, 챔버(1)내의 웨이퍼 주변 영역(에지 영역)에 가스를 도입하는 제 2 가스 도입부(52)와, 웨이퍼 주변영역 보다도 외측 영역에 가스를 도입하는 제 3 가스 도입부(53)를 갖고 있으며, 이들이 동심 형상으로 배치되어 있다.
샤워헤드(16)는 샤워헤드 본체(16a)와, 그 하면에 교환 가능하게 마련된 전극판(18)을 갖고 있다. 샤워헤드 본체(16a)의 저벽 및 전극판(18)을 관통하도록 다수의 가스 토출 구멍(17)이 마련되어 있고, 샤워헤드 본체(16a)의 내부에는 가스 확산 공간(40)이 마련되어 있다. 이 가스 확산 공간(40)은 예를 들면 O링으로 이루어지는 제 1 환상 격벽 부재(42) 및 제 2 환상 격벽 부재(43)에 의해 중심측의 제 1 가스 확산실(40a)과 그 외측의 제 2 가스 확산실(40b)과 또한 그 외측(가장 바깥둘레)의 제 3 가스 확산실(40c)로 구획되어 있고, 이들 제 1 가스 확산실(40a), 제 2 가스 확산실(40b), 제 3 가스 확산실(40c)로부터 상기 다수의 가스 토출 구멍(17)이 아래쪽으로 연장되어 있다. 그리고, 제 1 가스 확산실(40a)과 그 하면에 마련되어 있는 다수의 가스 토출 구멍(17)으로 제 1 가스 도입부(51)가 구성되고, 제 2 가스 확산실(40b)과 그 하면에 마련되어 있는 다수의 가스 토출 구멍(17)으로 제 2 가스 도입부(52)가 구성되며, 제 3 가스 확산실(40c)과 그 하면에 마련되어 있는 다수의 가스 토출 구멍(17)으로 제 3 가스 도입부(53)가 구성된다.
제 1 및 제 2 가스 확산실(40a, 40b)에는 에칭을 위한 처리 가스가 공급되고 웨이퍼 W를 향해 에칭 가스를 토출 가능하게 되어 있다. 제 3 가스 확산실(40c)에는 부가 가스가 공급된다. 제 3 가스 확산실(40c)에 대응하는 가스 토출 구멍(17)은 지지테이블 (2)에 탑재되어 있는 웨이퍼 W의 바깥가장자리보다도 외측의 영역에 대응하고, 웨이퍼 W의 바깥가장자리보다도 외측의 영역에 부가 가스를 토출 가능하게 되어 있다.
제 1 가스 확산실(40a) 및 제 2 가스 확산실(40b)에는 공통의 처리 가스 공급부(66)로부터 처리 가스가 원하는 유량비로 공급되도록 되어 있다. 즉, 처리 가스 공급부(66)로부터의 가스 공급관(64)이 도중에 2개의 분기관(64a, 64b)으로 분기하고, 샤워헤드 본체(16a)에 형성된 가스 도입구(62a, 62b)에 접속되고, 가스 도 입구(62a, 62b)로부터의 처리 가스가 가스 확산실(40a, 40b)에 이른다. 분기관(64a, 64b)의 분류량은 이들 도중에 마련된 분류량 조정 기구(71)에 의해 조정된다. 또한, 제 3 가스 확산실(40c)에는 부가 가스 공급부(75)로부터, 처리 가스에 의한 에칭 특성을 조정하기 위한 부가 가스가 공급되도록 되어 있다. 부가 가스는 에칭시에 예를 들면 에칭 처리를 균일하게 하기 위해 소정의 작용을 미치게 하는 것이다. 부가 가스 공급부(75)로부터의 가스 공급관(76)은 샤워헤드 본체(16a)에 형성된 가스 도입구(62c)에 접속되고, 부가 가스는 가스 도입구(62c)를 거쳐서 제 3 가스 확산실(40c)에 이른다. 그리고, 샤워헤드(16), 처리 가스 공급부(66), 부가 가스 공급부(75), 분류량 조정 기구(71) 및 배관계에 의해 가스 공급 장치(60)가 구성되어 있다.
도 3은 가스 공급 장치의 구성을 나타내는 모식도이다. 처리 가스 공급부(66)는 처리 가스의 수에 따른 복수, 예를 들면 3개의 처리 가스 공급원(67a, 67b, 67c)을 갖고 있으며, 이들로부터, 각각 가스 배관(68a, 68b, 68c)이 연장되어 있고, 이들 가스 배관(68a, 68b, 68c)에는 유량 제어기로서의 매스플로 컨트롤러(MFC)(69) 및 개폐 밸브(70)가 마련되어 있어, 복수종의 처리 가스를 각각 원하는 유량으로 공급 가능하게 되어 있다. 이들 가스 배관(68a, 68b, 68c)은 상기 가스 공급 배관(64)에 합류하고 있고, 가스 공급 배관(64)에서는 복수의 가스가 혼합된 혼합 가스가 통류된다. 또, 처리 가스 공급원은 처리 가스의 수에 따라 마련되는 것이며, 2개 이하 또는 4개 이상이어도 좋은 것은 물론이다.
분류량 조정 기구(71)는 분기관(64a, 64b)에 각각 마련된 유량 제어 밸 브(71a, 71b) 및 압력 센서(72a, 72b)를 갖고 있다. 처리 가스 공급부(66)로부터 제 1 가스 확산실(40a) 및 제 2 가스 확산실(40b)까지의 유로의 콘덕턴스는 동일하므로, 유량 제어 밸브(71a, 71b)에 의해 제 1 가스 확산실(40a)과 제 2 가스 확산실(40b)에 공급하는 처리 가스의 유량비를 임의로 조정할 수 있다. 실제의 유량 조정은 분기관(64a, 64b)에 마련된 압력 센서(72a, 72b)의 검출값에 의거하여 유량 제어 밸브(71a, 71b)의 개방도를 조정하는 것에 의해 실행된다.
부가 가스 공급부(75)는 가스 공급 배관(76)에 접속된 부가 가스 공급원(77)과, 가스 공급관(76)에 마련된 유량 제어기로서의 매스플로 컨트롤러(MFC)(78) 및 개폐 밸브(79)를 갖고 있다.
이와 같이, 제 1 가스 확산실(40a)과 제 2 가스 확산실(40b)에 도입하는 처리 가스의 유량비를 조정함으로써, 중심측의 제 1 가스 도입부(51)로부터 챔버(1)내에 도입되는 처리 가스의 유량 FC와 주변측의 제 2 가스 도입부(52)로부터 챔버(1)내에 도입되는 가스의 유량 FE의 비율(FC/FE)을 임의로 조정할 수 있고, 이것에 의해 래디컬 분포 제어(래디컬 디스트리뷰션 컨트롤; RDC)를 실행할 수 있다. 또한, 이들 처리 가스와는 독립적으로 소정의 부가 가스를 처리 가스에 대해 소정의 비율로 제 3 가스 도입부(53)의 제 3 가스 확산실(40c)로부터 챔버(1)내의 웨이퍼 W의 바깥가장자리보다도 외측 부분에 도입할 수 있다.
부가 가스를 공급하는 가스 공급 배관(76)과 분기관(64b)의 사이는 바이패스 배관(80)으로 접속되어 있으며, 가스 공급 배관(76)과 바이패스 배관(80)의 합류점 에는 전환 밸브(81)가 마련되어 있다. 전환 밸브(81)는 예를 들면 삼방밸브로 이루어지고, 부가 가스를 공급하는 경우에는 바이패스 배관(80)과의 접속을 차단하여 부가 가스가 제 3 가스 확산실(40c)에 공급되도록 하고, 부가 가스를 공급하지 않는 경우에는 부가 가스 공급부(75)측과의 접속을 차단하여 바이패스 배관(80)을 거쳐서 처리 가스가 제 3 가스 확산실(40c)에 공급되도록 한다. 즉, 처리 중에 부가 가스를 필요로 하지 않는 경우에, 제 3 가스 확산실(40c)에 대응하는 가스 토출 구멍(17)에 아무것도 흐르지 않는 것을 방지하는 것이 가능하게 되어 있다.
챔버(1)의 저벽에는 배기관(19)이 접속되어 있고, 이 배기관(19)에는 진공 펌프 등을 포함하는 배기 장치(20)가 접속되어 있다. 그리고 배기 장치(20)의 진공 펌프를 작동시키는 것에 의해 챔버(1)내를 소정의 진공도까지 감압할 수 있도록 되어 있다. 한편, 챔버(1)의 측벽 상측에는 웨이퍼 W의 반입 출구(23)를 개폐하는 게이트 밸브(24)가 마련되어 있다.
한편, 챔버(1)의 반입출구(23)의 상하에 챔버(1)를 주회<周回; 주위를 돎>하도록, 동심 형상으로 2개의 링 자석(21a, 21b)이 배치되어 있고, 지지 테이블(2)과 샤워헤드(16)의 사이의 처리공간의 주위에 자계를 형성하도록 되어 있다. 이 링 자석(21a, 21b)은 도시하지 않은 회전 기구에 의해 회전 가능하게 마련되어 있다.
플라즈마 에칭 장치의 각 구성부, 예를 들면, 처리 가스 공급부(66), 분류량 조정 기구(71), 부가 가스 공급부(75), 고주파 전원(10), 정합기(11), 직류 전원(13), 냉매 제어 장치(8), 전열 가스 공급 장치(9), 배기 장치(20) 등은 마이크로 프로세서(컴퓨터)로 이루어지는 제어부(프로세스 컨트롤러)(90)에 접속되어 제 어되는 구성으로 되어 있다. 또한, 제어부(90)에는 오퍼레이터가 플라즈마 에칭 장치를 관리하기 위해 커맨드의 입력 조작 등을 실행하는 키보드나, 플라즈마 처리 장치의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 이루어지는 사용자 인터페이스(91)가 접속되어 있다.
또한, 제어부(90)에는 플라즈마 에칭 장치에서 실행되는 각종 처리를 제어부(90)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 에칭 장치의 각 구성부에 처리를 실행시키기 위한 프로그램 즉 레시피가 저장된 기억부(92)가 접속되어 있다. 레시피는 기억부(92) 중의 기억 매체에 기억되어 있다. 기억 매체는 하드 디스크와 같은 고정적인 것이어도 좋고, CDROM, DVD 등의 휴대가능한 것이어도 좋다. 또한, 다른 장치로부터, 예를 들면 전용 회선을 거쳐서 레시피를 적절히 전송시키도록 해도 좋다. 그리고, 필요에 따라, 사용자 인터페이스(91)로부터의 지시 등으로 임의인 레시피를 기억부(92)로부터 호출하여 제어부(90)에 실행시킴으로써, 제어부(90)의 제어 하에서 플라즈마 에칭 장치에서의 원하는 처리가 실행된다.
다음에, 이상과 같이 구성된 플라즈마 에칭 장치의 처리 동작에 대해 설명한다. 이 때의 처리 동작은 상술한 제어부(90)에 의해 제어된다.
우선, 게이트밸브(24)를 열림으로 하여 반송 아암으로 웨이퍼 W를 챔버(1)내에 반입하고, 지지 테이블(2)상에 탑재한 후, 반송 아암을 퇴피시켜 게이트밸브(24)를 닫힘으로 하고, 배기 장치(20)의 진공 펌프에 의해 배기관(19)을 거쳐서 챔버(1)내를 소정의 진공도로 한다.
그리고, 처리 가스 공급부(66)로부터 에칭을 위한 처리 가스를 소정의 유량 및 비율로 제 1 가스 확산실(40a) 및 제 2 가스 확산실(40b)에 공급하고, 제 1 가스 도입부(51)와 제 2 가스 도입부(52)의 처리 가스 비율을 조정한다. 또한, 제 3 가스 도입부(53)의 제 3 가스 확산실(40c)에는 소정의 부가 가스를 공급한다. 그리고, 이들 가스를 가스 토출 구멍(17)을 거쳐서 챔버(1)내에 공급하면서, 배기 장치(20)의 진공 펌프에 의해 챔버(1)내를 배기하고, 그 중의 압력을 예를 들면 1∼150Pa의 범위내의 설정값으로 한다.
여기서, 처리 가스로서는 종래 이용되고 있는 각종의 것을 채용할 수 있으며, 예를 들면 C4F8 가스와 같은 플로로 카본 가스(CxFy)로 대표되는 할로겐 원소를 함유하는 가스를 바람직하게 이용할 수 있다. 또한, 할로겐 원소를 함유하는 가스와 함께, 또는 할로겐 원소를 함유하는 가스 대신에 Ar 가스나 O2 가스 등의 다른 가스를 이용할 수도 있다.
부가 가스는 웨이퍼의 에칭 특성에 특이한 부분이 존재하는 바와 같은 경우에, 그 부분의 환경을 조정하여 에칭의 면내 균일성을 높이기 위한 가스이다. 예를 들면, 웨이퍼의 둘레 가장자리부에서 부생성물이 적기 때문에 에칭 형상이 가늘어지는 경우가 있지만, 그 경우에는 부생성물을 생성하는 가스를 부가 가스로서 공급하는 것이 유효하다. 또한, 예를 들면, 웨이퍼의 둘레 가장자리부에서 막의 구성 성분에 대응하는 가스가 적기 때문에 에칭 형상이 가늘어지는 경우가 있지만, 그 경우에는 그 막의 구성 성분에 대응하는 가스를 부가 가스로서 공급하는 것이 유효 하다.
또, 부가 가스로서는 처리 가스의 일부를 이용할 수도 있고, 처리 가스와는 전혀 다른 가스를 이용할 수도 있다.
이와 같이 챔버(1)내에 처리 가스 및 부가 가스를 도입한 상태에서, 고주파 전원(10)으로부터 지지 테이블(2)에, 주파수가 10㎒ 이상, 예를 들면 13.56㎒의 고주파 전력을 공급한다. 이 때, 직류 전원(13)으로부터 정전 척(6)의 전극(6a)에 소정의 전압이 인가되고, 웨이퍼 W는 예를 들면 쿨롱력에 의해 흡착된다.
이와 같이 하여 하부 전극인 지지 테이블(2)에 고주파 전력이 인가되는 것에 의해, 상부 전극인 샤워헤드(16)와 하부 전극인 지지 테이블(2)의 사이의 처리공간에는 고주파 전계가 형성되고, 이것에 의해 처리공간에 공급된 처리 가스가 플라즈마화되어, 그 플라즈마중의 래디컬이나 이온에 의해서 웨이퍼 W의 피에칭막이 에칭된다.
이 경우에, 상기 특허문헌 1∼3에 있는 바와 같이, 처리 가스의 유량을 웨이퍼 W의 중심 영역과 주변 영역에서 조정하는 래디컬 분포 제어(RDC)와, 부가 가스를 웨이퍼 W의 주변 영역에 흘리는 것에 의해, 처리에 따라서는 에칭 특성을 균일하게 할 수 있다.
그러나, 하드 마스크층으로서 이용되는 SiN막이나, 저유전율(Low-k) 층간절연막과 같은 유기계막의 에칭에 있어서는 웨이퍼 W의 가장 바깥둘레 부분에 있어서, 에칭 특성, 특히 CD(크리티컬 디멘션)가 급격하게 변화한다. 구체적으로는 웨이퍼 W의 가장 바깥둘레 부분에 있어서, 레지스트의 가늘어짐이 생기는 경향에 있 다. 이 경우에는 단지 상기 특허문헌 1∼3과 같은 하드 구성을 이용하여 래디컬 분포 제어 및 부가 가스의 공급을 실행해도, 반드시 에칭 특성의 균일화를 달성할 수 없다.
그래서 본 실시형태에서는 제 1 가스 도입부(51) 및 제 2 가스 도입부(52)의 2계통으로 비율 조정하여 흘리도록 한 것에 부가하여, 제 3 가스 도입부(53)에 있어서, 부가 가스를 웨이퍼 W 바깥가장자리보다도 외측에 대응하는 위치에 도입하도록 하였다.
이것에 의해, 웨이퍼 W의 가장 바깥둘레 부분에 있어서의 에칭 특성을 유효하게 보정하여 최적화할 수 있고, 에칭 특성을 더욱 균일하게 할 수 있다. 즉, 웨이퍼 W의 에지 영역에 부가 가스를 공급해도, 웨이퍼 W의 가장 바깥둘레 부분의 에칭 특성을 보정하는 것은 곤란하지만, 부가 가스를 웨이퍼 W의 바깥가장자리로부터 외측의 부분에 공급하는 것에 의해, 부가 가스가 웨이퍼 W의 가장 바깥둘레 부분에 유효하게 작용하여 그 부분의 에칭 특성을 보정할 수 있다.
또한, 부가 가스는 웨이퍼 W의 미들 영역 및 센터 영역으로도 확산되고, 이들 영역의 환경에도 변화를 초래하지만, 제 1 가스 도입부(51) 및 제 2 가스 도입부(52)의 사이에서 처리 가스의 비율을 조정하는 것에 의해 그 영향을 취소할 수 있다.
이러한 에칭 특성의 보정을 도 4를 참조하여 구체적으로 나타내면, 예를 들면, SiN막을 에칭할 때에, 처리 가스로서 CH2F2 가스, CF4 가스, Ar 가스, O2 가스를 이용하고, 부가 가스를 이용하지 않는 경우에는 (a)에 나타내는 바와 같이 에칭 CD가 미들 영역을 지난 부근부터 크게 저하하는 경향이 있다. 또한, 상기 처리 가스와 함께 부가 가스로서 CH2F2 가스를 웨이퍼의 에지 영역에 공급한 경우에는 (b)에 나타내는 바와 같이 CD 분포는 개선되지만 웨이퍼 W의 가장 바깥둘레 부분에 CD가 저하하는 부분이 생기고, 이 부분은 래디컬 밀도 공간분포 제어를 실행해도 개선되지 않는다. 이에 반해, 본 실시형태와 같이, 웨이퍼 W의 바깥가장자리보다도 외측 부분에 부가 가스로서 CH2F2 가스를 공급한 경우에는 (c)에 나타내는 바와 같이 웨이퍼 W의 가장 바깥둘레 부분의 CD 보정 효과를 최적화할 수 있다. (c)에 있어서의 웨이퍼의 중심 영역의 CD 저하는 제 1 가스 도입부(51) 및 제 2 가스 도입부(52)의 사이에서 처리 가스의 비율을 조정하여 래디컬 밀도 공간분포 제어를 실행하는 것에 의해 (d)와 같이 평탄화할 수 있고, CD의 균일성을 극히 높게 할 수 있다. 또, 도 4는 개략적인 경향을 모식적으로 나타낸 것이다.
또한, 프로세스에 따라서는 부가 가스를 이용할 필요가 없는 경우도 있지만, 그 경우에, 단지 부가 가스의 공급을 정지시킨 것에서는 제 3 가스 확산실(40c)에 대응하는 가스 토출 구멍(17)에 아무것도 흐르지 않는 상태로 되고, 이 상태에서 챔버(1)내에 플라즈마를 생성하면, 플라즈마가 제 3 가스 확산실(40c)에 대응하는 가스 토출 구멍(17)에 플라즈마가 들어가, 이상 방전이 생기거나, 데포가 생긴다.
그래서, 본 실시형태에서는 부가 가스를 공급하는 가스 공급 배관(76)과 분기관(64b)의 사이를 바이패스 배관(80)으로 접속하고, 전환 밸브(1)에 의해 제 3 가스 확산실(40c)에 부가 가스와 처리 가스를 선택적으로 공급할 수 있도록 하고, 부가 가스를 흘릴 필요가 없는 경우에, 전환 밸브(81)를 바이패스 배관(80)측으로 해서 제 3 가스 확산실(40c)에 처리 가스를 흘리는 것에 의해, 이러한 이상 방전이나 데포의 문제를 해소할 수 있다.
다음에, 본 발명을 유추하는 것에 이른 실험 결과에 대해 상세하게 설명한다.
여기서는 Si 기판상에 SiN막을 200㎚, 그 위에 폴리비닐 알콜계의 수지막(OPL)을 287㎚, 또한 그 위에 반사 방지막(Si-ARC)을 80㎚ 형성하고, 또한 그 위에 포토 레지스트막(PR)을 형성한 후, 포토 레지스트막을 포토리도그래피 공정에 의해 CD(라인 폭) 80㎚로 패턴화한 300㎜ 웨이퍼를 이용하고, 샤워헤드(16)의 가장 외측의 가스 토출 구멍이 웨이퍼 배치 영역내(중심으로부터 140㎜)인 것 이외는 도 1과 마찬가지의 장치를 이용하여, SiN막까지 에칭하였다. 이 때, 처리 가스로서 CHF3 가스, CF4 가스, Ar 가스, 및 O2 가스를 이용하고, 이들 유량을 CHF3/CF4/Ar/O2=30/90/600/15mL/min(sccm)으로 하고, 처리 가스의 비율을 센터:에지=45:55로 하며, 챔버내 압력:16.6Pa(125mTorr), 고주파 파워: 600W의 조건에서 에칭을 실행하였다. 또, 그 결과, 도 5의 주사형 전자 현미경(SEM) 사진에서 나타내는 바와 같이, 패턴이 밀(Dense)한 부분 및 소(Isolation)인 부분 모두, 중심 영역에 비해 주변 영역의 라인 부분이 가늘어지는 것이 확인되었다. 또, 도면중의 숫자는 좌측이 톱의 CD값(㎚)을 나타내고, 우측이 보틈의 CD값(㎚)을 나타낸다.
다음에, 마찬가지의 장치를 이용하여, 처리 가스로서 CH2F2 가스, CF4 가스, Ar 가스, 및 O2 가스를 이용하고, 이들 유량을 CH2F2/CF4/Ar/O2=20/80/
/150/21mL/min(sccm)으로 하고, 가스 비율을 센터:에지=45:55로 하고, 챔버내 압력: 18.6Pa(140mTorr), 고주파 파워: 700W의 조건에서 CD(라인 폭) 80㎚에서 SiN막을 에칭하였다. 이 경우의 Dense부 및 Isolation부의 웨이퍼 직경 방향의 CD 시프트량에 대해 도 6의 (a), (b)에 나타낸다. 이들 도면으로부터도 웨이퍼의 에지 부분에 있어서 CD가 저하 하는 것을 알 수 있다.
이러한 웨이퍼의 에지 부분의 CD 저하에 상관이 있는 지표에 대해 조사한 결과, 도 7에 나타내는 바와 같이, 반응 생성물(부생성물)인 CN계 물질의 농도와 상관이 있고, 웨이퍼의 에지 부분에 있어서 반응 생성물의 농도가 저하 되는 것이 판명되었다. 또, 도 7은 웨이퍼의 0.5㎜상의 위치에 대해 시뮬레이션을 실행한 데이터이다. 도 7의 결과로부터, 웨이퍼 에지 부분에 있어서의 CD의 저하는 웨이퍼 에지 부분에 있어서 반응 생성물(CN계 물질)의 농도가 저하해 있는 것에 기인하는 것으로 추측된다.
그래서, 상기 실험에서 이용한 샤워헤드(16)의 가장 외측의 가스 토출 구멍이 웨이퍼 배치 영역내(중심으로부터 140㎜)인 장치를 이용하고, 부가 가스로서 반응 생성물인 CN계 물질을 대신하는 가스인 CH2F2 가스를 이용하여, 처리 가스를 공급하는 동시에 이 CH2F2 가스를 상기 가장 외측의 가스 토출 구멍(도 1의 장치에 있어서의 제 2 가스 확산실에 상당)으로부터 웨이퍼의 에지 부분에 토출하였다. 이 경우의 Dense부 및 Isolation부의 웨이퍼 직경 방향의 CD 시프트량에 대해 도 8의 (a), (b)에 나타낸다. 이들 도면으로부터, 웨이퍼의 에지 부분의 CD의 저하가 완화되고, 부가 가스에 의해 CD의 균일성이 개선되어 있지만, 웨이퍼의 가장 바깥둘레부에 있어서의 CD의 저하가 해소되어 있지 않은 것을 알 수 있다.
이에 대해, 본 발명에 따라서 부가 가스인 CH2F2 가스를 웨이퍼의 바깥가장자리보다도 외측 부분(중심으로부터 156㎜)에 공급하도록 한 결과, 웨이퍼의 가장 바깥둘레부에 있어서의 CD의 저하를 보정할 수 있었다. 도 9는 직접 CD 시프트량을 나타낸 것은 아니지만, CD 시프트량과 강한 상관이 있는 SiN막의 레지스트막에 대한 선택비 시프트량을 나타내는 것이며, (a)가 부가 가스인 CH2F2가스를 웨이퍼의 에지부에 공급한 경우, (b)는 웨이퍼의 바깥가장자리보다도 외측 부분에 공급한 경우를 나타낸다. 이들 도면에 나타내는 바와 같이, (a)에서는 웨이퍼 가장 바깥둘레부에서 선택비가 저하하고 있는 것에 반해, (b)에서는 웨이퍼 가장 바깥둘레부에서 선택비가 상승하여 최대값을 나타내고 있다. 그리고, 이러한 상태로부터는 RDC 제어에 의해 용이하게 에칭 특성의 균일화를 도모할 수 있다.
이상은 분위기중의 반응 생성물(부생성물)의 농도가 에지 부분의 에칭 특성에 영향을 주고 있던 경우이지만, 막의 성분 그 자체의 농도가 에지 부분의 에칭 특성에 영향을 주는 경우도 있다. 예를 들면, 아몰퍼스 카본(a-C)이나 유기막의 에칭에 있어서는 막의 성분인 C의 농도가 웨이퍼의 에지 부분의 분위기중에서 낮아지는 결과, 웨이퍼의 에지 부분에서 CD가 저하하는 경향에 있다. 이 경우에는 부가 가스로서 막 성분인 C를 증가시키는 가스, 예를 들면 CO 가스를 이용할 수 있고, 이러한 부가 가스에 의해 CD 등의 에칭 특성을 균일하게 할 수 있다.
다음에, 본 발명을 이용하여 실제로 에칭 특성을 균일화한 실 예에 대해 설명한다.
도 10에 나타내는 바와 같은 Si 기판(101)상에 하드 마스크로서 SiN막(102)을 형성하고, 그 위에 반사 방지막(BARC)(103)을 형성하고, 그 위에 포토 레지스트막(104)을 형성한 후, 포토 레지스트막(PR)(104)을 포토리도그래피 공정에 의해 패턴화한 웨이퍼 샘플을 작성하고, 도 1의 장치에 의해 BARC(103) 및 SiN막(102)에 에칭 처리를 실시하였다.
공통 조건으로서, 웨이퍼 온도를 60℃, 센터 영역과 에지 영역의 처리 가스의 유량비를 센터:에지=45:55로 하고, BARC(103)의 에칭시에는 처리 가스로서 CF4 가스, Ar 가스, O2 가스를, 각각 120mL/min(sccm), 420mL/min(sccm), 15mL/min의 유량으로 공급하고, 챔버내 압력을 13.3Pa (100mTorr), 고주파 파워를 800W로 설정하여 플라즈마 에칭을 실행하고, SiN막(102)의 에칭시에는 처리 가스로서 CH2F2 가스, CF4 가스, Ar 가스, O2 가스를, 각각 20mL/min(sccm), 80mL/min(sccm), 150mL/min, 20mL/min의 유량으로 공급하고, 또한 제 3 가스 확산 영역(40c)을 거쳐서 웨이퍼 바깥가장자리보다도 외측 부분에 부가 가스로서 CN계 반응 생성물을 형성하는 CH2F2 가스를 2mL/min(sccm)의 유량으로 공급하고, 챔버내 압력을 18.6Pa(140mTorr), 고 주파 파워를 700W로 설정하여 플라즈마 에칭을 실행하였다. 또, BARC의 에칭시에는 제 3 가스 영역(40c)으로부터 처리 가스를 공급하였다.
그 결과, SiN막(102)의 에칭시에는 부가 가스로서의 CH2F2 가스의 작용에 의해, 웨이퍼의 가장 바깥둘레 부분의 CD가 보정되어 균일성이 높은 에칭을 실현할 수 있었다. 또한, BARC(103)의 에칭시에는 부가 가스를 공급하지 않았지만, 부가 가스 공급용의 제 3 가스 확산 영역(40c)으로부터 처리 가스를 토출했으므로, 이상 방전이나 데포는 발생하지 않았다.
다음에, 도 11에 나타내는 바와 같은 Si 기판(201)상에 하드 마스크로서 SiN막(202)을 형성하고, 그 위에 아몰퍼스 카본(a-C)막(203)을 형성하고, 그 위에 SiO2막(204)을 형성하고, 또한 그 위에 포토 레지스트막(PR)(205)을 형성한 후, 포토 레지스트막(205)을 포토리도그래피 공정에 의해 패턴화한 웨이퍼 샘플을 작성하고, 도 1의 장치에 의해 SiO2막(204) 및 a-C막(203)에 에칭 처리를 실시하였다.
공통 조건으로서, 웨이퍼 온도를 20℃, 센터 영역과 에지 영역의 처리 가스의 유량비를 센터:에지=50:50으로 하고, SiO2막(204)의 에칭시에는 처리 가스로서 CF4 가스를 150mL/min(sccm)의 유량으로 공급하고, 챔버내 압력을 10.6Pa(80mTorr), 고주파 파워를 400W로 설정하여 플라즈마 에칭을 실행하고, a-C막(203)의 에칭시에는 처리 가스로서 O2 가스, Ar 가스를, 각각180mL/min(sccm), 300mL/min(sccm)의 유량으로 공급하고, 또한 제 3 가스 확산 영역(40c)을 거쳐서 웨이퍼 바깥가장자리보 다도 외측 부분에 부가 가스로서 분위기중의 막 성분을 증가시키는 가스인 CO 가스를 200mL/min(sccm)의 유량으로 공급하고, 챔버내 압력을 4.0Pa(30mTorr), 고주파 파워를 500W로 설정하여 플라즈마 에칭을 실행하였다. 또, SiO2막(204)의 에칭시에는 제 3 가스 영역(40c)으로부터 처리 가스를 공급하였다.
그 결과, a-C막(203)의 에칭시에는 부가 가스로서의 CO 가스의 작용에 의해, 웨이퍼의 가장 바깥둘레 부분의 CD가 보정되어 균일성이 높은 에칭을 실현할 수 있었다. 또한, SiO2막(204)의 에칭시에는 부가 가스를 공급하지 않았지만, 부가 가스 공급용의 제 3 가스 확산 영역(40c)으로부터 처리 가스를 토출했으므로, 이상 방전이나 데포는 발생하지 않았다.
다음에, 도 12에 나타내는 바와 같은 Si기판(301)상에 하드 마스크로서 SiN막(302)을 형성하고, 그 위에 폴리비닐 알콜계의 수지막(OPL)(303)을 형성하고, 그 위에 반사 방지막(Si-ARC)(304)을 형성하고, 또한 그 위에 포토 레지스트막(305)을 형성한 후, 포토 레지스트막(305)을 포토리도그래피 공정에 의해 패턴화한 웨이퍼 샘플을 작성하고, 도 1의 장치에 의해 Si-ARC(304) 및 OPL(303)에 에칭 처리를 실시하였다.
공통 조건으로서, 웨이퍼 온도를 20℃, 센터 영역과 에지 영역의 처리 가스의 유량비를 센터:에지=50:50으로 하고 Si-ARC(304)의 에칭시에는 처리 가스로서 CF4 가스를 150mL/min(sccm)의 유량으로 공급하고, 챔버내 압력을 10.6Pa(80mTorr), 고주파 파워를 400W로 설정하여 플라즈마 에칭을 실행하고, 수지막(303)의 에칭시 에는 처리 가스로서 N2 가스, O2 가스, H2 가스를, 각각 200mL/min(sccm), 18mL/min(sccm), 100mL/min(sccm)의 유량으로 공급하고, 또한 제 3 가스 확산 영역(40c)을 거쳐서 웨이퍼 바깥가장자리보다도 외측 부분에 부가 가스로서 분위기중의 막 성분을 증가시키는 가스인 CO 가스를 40mL/min(sccm)의 유량으로 공급하고, 챔버내 압력을 2.3Pa(17mTorr), 고주파 파워를 300W로 설정하여 플라즈마 에칭을 실행하였다. 또, Si-ARC(304)의 에칭시에는 제 3 가스 영역(40c)으로부터 처리 가스를 공급하였다.
그 결과, OPL(303)의 에칭시에는 부가 가스로서의 CO 가스의 작용에 의해, 웨이퍼의 가장 바깥둘레 부분의 CD가 보정되어 균일성이 높은 에칭을 실현할 수 있었다. 또한, Si-ARC(304)의 에칭시에는 부가 가스를 공급하지 않았지만, 부가 가스 공급용의 제 3 가스 확산 영역(40c)으로부터 처리 가스를 토출했으므로, 이상 방전이나 데포는 발생하지 않았다.
또, 본 발명은 상기 실시형태에 한정되는 일 없이 각종 변형 가능하다. 예를 들면, 상기 실시 형태에서는 웨이퍼의 중심 영역에 대응하는 제 1 가스 도입부(51)와 웨이퍼의 주변 영역에 대응하는 제 2 가스 도입부(52)로 처리 가스를 분류시켰지만, 3개 이상의 영역으로 분류시켜도 좋다. 또한, 반드시 가스 도입부가 동심 형상으로 형성되어 있을 필요는 없다. 또한, 상기 실시 형태에서는 처리 가스 및 부가 가스를 샤워헤드로부터 도입했지만, 반드시 샤워헤드가 아니어도 좋고, 예를 들면, 단지 배관으로부터 이들 가스를 도입하도록 해도 좋다.
또한, 상기 실시 형태에서는 플라즈마 에칭 처리에 대해 나타냈지만, 이것에 한정하는 것은 아니고 플라즈마 CVD 처리 등의 다른 플라즈마 처리이어도 좋고, 또한, 열CVD 등의 비(非)플라즈마 처리이어도 좋다.
또한, 상기 실시 형태에서는 피처리 기판으로서 반도체 웨이퍼를 이용한 예를 나타냈지만, 이것에 한정되는 것은 아니고, FPD(플랫 패널 디스플레이)용 기판 등, 다른 기판이어도 좋다.
도 1은 본 발명의 1실시 형태에 관한 가스 공급 장치가 적용된 기판 처리 장치로서의 플라즈마 에칭 장치를 나타내는 개략 단면도.
도 2는 도 1의 플라즈마 에칭 장치에 있어서의 샤워헤드의 저면도.
도 3은 도 1의 플라즈마 에칭 장치에 탑재된 가스 공급 장치의 구성을 나타내는 모식도.
도 4는 도 1의 장치를 이용하여 에칭 특성의 보정을 실행한 예를 모식적으로 나타내는 도면.
도 5는 도 1의 장치를 이용하여 SiN막을 부가 가스를 이용하지 않고 에칭 한 경우의 중심 영역과 주변 영역의 에칭 패턴의 상태를 나타내는 주사형 전자 현미경 사진.
도 6은 도 1의 장치를 이용하여 SiN막을 부가 가스를 이용하지 않고 에칭한 경우의 Dense부 및 Isolation부의 웨이퍼 직경 방향의 CD 시프트량을 나타내는 도면.
도 7은 도 1의 장치를 이용하여 SiN막을 부가 가스를 이용하지 않고 에칭 한 경우의 반응 생성물인 CN계 물질의 농도분포의 시뮬레이션 결과를 나타내는 도면.
도 8은 도 1의 장치를 이용하여 SiN막을 부가 가스로서 CH2F2 가스를 이용하여 에칭한 경우의 Dense부 및 Isolation부의 웨이퍼 직경 방향의 CD 시프트량을 나타내는 도면.
도 9는 도 1의 장치를 이용하여 SiN막을 에칭할 때에, 부가 가스인 CH2F2를 웨이퍼의 에지부에 공급한 경우와 웨이퍼의 바깥가장자리보다도 외측 부분에 공급한 경우에서, 웨이퍼 직경 방향의 선택비 시프트량을 나타내는 도면.
도 10은 본 발명에 의해 실제로 에칭 특성을 균일화했을 때에 이용한 샘플 구조예를 나타내는 도면.
도 11은 본 발명에 의해 실제로 에칭 특성을 균일화했을 때에 이용한 샘플 구조예를 나타내는 도면.
도 12은 본 발명에 의해 실제로 에칭 특성을 균일화했을 때에 이용한 샘플 구조예를 나타내는 도면.
부호의 설명
1; 챔버
2; 지지 테이블(전극)
10; 고주파 전극
16; 샤워 헤드
16a; 샤워헤드 본체
17; 가스 토출 구멍
20; 배기 장치
40; 가스 확산 공간
40a; 제 1 가스 확산 공간
40b; 제 2 가스 확산 공간
40c; 제 3 가스 확산 공간
51; 제 1 가스 도입부
52; 제 2 가스 도입부
53; 제 3 가스 도입부
60; 가스 공급 장치
64; 가스 공급관
64a, 64b; 분류관
66; 처리 가스 공급부
67a, 67b, 67c; 처리 가스 공급원
71; 분류량 조정 기구
75; 부가 가스 공급부
76; 가스 공급 배관
77; 부가 가스 공급원
80; 바이패스 배관
81; 전환 밸브
W; 반도체 웨이퍼(피처리 기판)

Claims (24)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 피처리 기판이 배치된 처리실내에 가스를 공급하는 가스 공급 장치로서,
    피처리 기판을 처리하는 처리 가스를 상기 처리실을 향해 공급하는 처리 가스 공급부와,
    상기 처리실에 처리 가스를 도입하는 하나 또는 그 이상의 처리 가스 도입부와,
    상기 처리 가스 공급부로부터의 처리 가스를 상기 처리 가스 도입부에 공급하는 처리 가스 공급로와,
    상기 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 상기 처리실을 향해 공급하는 부가 가스 공급부와,
    상기 처리실에 부가 가스를 도입하는 부가 가스 도입부와,
    상기 부가 가스 공급부로부터의 부가 가스를 상기 부가 가스 도입부에 공급하는 부가 가스 공급로와,
    상기 부가 가스를 처리실 내에 도입하지 않는 경우에, 상기 부가 가스 도입부에 상기 처리 가스가 흘러 상기 처리실 내로 공급되도록 전환하는 전환 기구를 구비하는 것을 특징으로 하는
    가스 공급 장치.
  8. 제 7 항에 있어서,
    상기 전환 기구는 상기 부가 가스 공급로와 상기 처리 가스 공급로를 연결하는 바이패스 배관과, 상기 부가 가스 공급로측 및 상기 바이패스 배관측의 접속을 선택적으로 전환하는 바이패스 밸브를 갖는 것을 특징으로 하는
    가스 공급 장치.
  9. 제 7 항 또는 제 8 항에 있어서,
    상기 처리 가스 공급로는 상기 처리 가스 도입부로부터 연장하는 주 유로와, 주 유로로부터 분기한 복수의 분기 유로를 갖고, 상기 처리 가스 도입부는 상기 분기 유로에 대응해서 복수 마련되어 있는 것을 특징으로 하는
    가스 공급 장치.
  10. 제 9 항에 있어서,
    상기 처리실내에 있어서, 상기 처리실 내에 배치된 피처리 기판에 대향해서 마련되고, 상기 처리실내에 가스를 도입하는 가스 도입 부재를 더 구비하고, 상기 가스 도입 부재는 상기 복수의 처리 가스 도입부 및 상기 부가 가스 도입부를 갖고 있는 것을 특징으로 하는
    가스 공급 장치.
  11. 제 10 항에 있어서,
    상기 가스 도입 부재는 내부에 가스 확산 공간을 갖고, 하부벽에 복수의 가스 토출 구멍을 갖는 샤워헤드를 구성하고, 상기 가스 확산 공간이 상기 복수의 처리 가스 도입부 및 상기 부가 가스 도입부에 대응해서 복수의 가스 확산실로 구획되어 있는 것을 특징으로 하는
    가스 공급 장치.
  12. 제 11 항에 있어서,
    상기 가스 도입 부재의 상기 복수의 처리 가스 도입부는 상기 피처리 기판의 중심 영역에 상기 처리 가스를 도입하는 제 1 가스 도입부와, 상기 피처리 기판의 주변 영역에 상기 처리 가스를 도입하는 제 2 가스 도입부를 갖고, 상기 부가 가스 도입부는 상기 제 2 가스 도입부의 외측에 마련되고, 상기 피처리 기판의 바깥가장자리보다도 외측의 영역에 부가 가스를 공급하는 것을 특징으로 하는
    가스 공급 장치.
  13. 제 7 항 또는 제 8 항에 있어서,
    상기 부가 가스는 상기 처리 가스와는 다른 가스인 것을 특징으로 하는
    가스 공급 장치.
  14. 제 7 항 또는 제 8 항에 있어서,
    상기 처리 가스는 복수의 가스를 포함하고, 상기 부가 가스는 이들 복수의 가스와 다른 가스, 또는 상기 처리 가스를 구성하는 가스의 일부인 것을 특징으로 하는
    가스 공급 장치.
  15. 삭제
  16. 피처리 기판이 배치되는 처리실과,
    상기 처리실내에 가스를 공급하는 가스 공급 장치를 구비하고,
    상기 가스 공급 장치로부터 피처리 기판을 처리하기 위한 처리 가스를 상기 처리실내에 공급하여 피처리 기판에 소정의 처리를 실시하는 기판 처리 장치로서,
    상기 가스 공급 장치는
    피처리 기판을 처리하는 처리 가스를 상기 처리실을 향해 공급하는 처리 가스 공급부와,
    상기 처리실에 처리 가스를 도입하는 하나 또는 그 이상의 처리 가스 도입부와,
    상기 처리 가스 공급부로부터의 처리 가스를 상기 처리 가스 도입부에 공급하는 처리 가스 공급로와,
    상기 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 상기 처리실을 향해 공급하는 부가 가스 공급부와,
    상기 처리실에 가스를 도입하는 부가 가스 도입부와,
    상기 부가 가스 공급부로부터의 부가 가스를 상기 부가 가스 도입부에 공급하는 부가 가스 공급로와,
    상기 부가 가스를 처리실 내에 도입하지 않는 경우에, 상기 부가 가스 도입부에 상기 처리 가스가 흘러 상기 처리실 내로 공급되도록 전환하는 전환 기구를 구비하는 것을 특징으로 하는
    기판 처리 장치.
  17. 제 16 항에 있어서,
    상기 처리 가스와 상기 부가 가스의 플라즈마를 생성하는 플라즈마 생성 기구를 더 구비하고, 생성한 플라즈마에 의해 피처리 기판을 처리하는 것을 특징으로 하는
    기판 처리 장치.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 피처리 기판이 배치된 처리실내에 처리 가스를 도입하여 피처리 기판에 소정의 처리를 실시하는 기판 처리 방법으로서,
    상기 처리 가스를 처리 가스 도입부로부터 상기 처리실의 피처리 기판의 배치 영역에 도입하고, 또한 처리 가스에 의한 처리 특성을 조정하기 위한 부가 가스를 부가 가스 도입부로부터 상기 처리실내에 도입하여 피처리 기판에 처리를 실시하는 공정과,
    상기 처리 가스를 처리 가스 도입부로부터 상기 처리실의 피처리 기판의 배치 영역에 도입하고, 상기 부가 가스를 도입하지 않고 피처리 기판에 처리를 실시하는 공정을 포함하고,
    상기 부가 가스를 도입하지 않고 피처리 기판에 처리를 실시하는 공정은 상기 부가 가스 도입부에 상기 처리 가스를 흘려 상기 처리실 내로 공급하는
    기판 처리 방법.
KR1020080106003A 2007-11-02 2008-10-28 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법 KR101061630B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007286411A JP5192214B2 (ja) 2007-11-02 2007-11-02 ガス供給装置、基板処理装置および基板処理方法
JPJP-P-2007-286411 2007-11-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020100114004A Division KR101162884B1 (ko) 2007-11-02 2010-11-16 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법

Publications (2)

Publication Number Publication Date
KR20090045856A KR20090045856A (ko) 2009-05-08
KR101061630B1 true KR101061630B1 (ko) 2011-09-01

Family

ID=40588522

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020080106003A KR101061630B1 (ko) 2007-11-02 2008-10-28 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법
KR1020100114004A KR101162884B1 (ko) 2007-11-02 2010-11-16 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020100114004A KR101162884B1 (ko) 2007-11-02 2010-11-16 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법

Country Status (5)

Country Link
US (2) US8430962B2 (ko)
JP (1) JP5192214B2 (ko)
KR (2) KR101061630B1 (ko)
CN (2) CN103325652B (ko)
TW (1) TWI447806B (ko)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP4727000B2 (ja) * 2008-07-30 2011-07-20 京セラ株式会社 堆積膜形成装置および堆積膜形成方法
US8931431B2 (en) 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101065747B1 (ko) * 2009-06-22 2011-09-19 주식회사 티지솔라 균일한 가스 공급수단을 구비하는 플라즈마 장치
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5410881B2 (ja) * 2009-08-20 2014-02-05 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
JP5410882B2 (ja) * 2009-08-20 2014-02-05 東京エレクトロン株式会社 プラズマエッチング処理装置とプラズマエッチング処理方法
KR101386552B1 (ko) 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
US8328980B2 (en) * 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9240513B2 (en) 2010-05-14 2016-01-19 Solarcity Corporation Dynamic support system for quartz process chamber
WO2012002232A1 (ja) 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
CN102206813A (zh) * 2010-08-20 2011-10-05 浙江正泰太阳能科技有限公司 Pecvd系统中的气体混合装置、方法和系统
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP5860668B2 (ja) * 2011-10-28 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
US20130295283A1 (en) * 2012-05-07 2013-11-07 Pinecone Material Inc. Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity
JP6157061B2 (ja) 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP2014003234A (ja) * 2012-06-20 2014-01-09 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6034655B2 (ja) * 2012-10-25 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
CN103928284B (zh) * 2013-01-15 2016-04-06 中微半导体设备(上海)有限公司 气体传输装置及其气体分流装置的测试方法
JP6078354B2 (ja) 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
JP6007143B2 (ja) 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
JP6027490B2 (ja) * 2013-05-13 2016-11-16 東京エレクトロン株式会社 ガスを供給する方法、及びプラズマ処理装置
JP6030994B2 (ja) * 2013-05-15 2016-11-24 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
CN104167345B (zh) * 2013-05-17 2016-08-24 中微半导体设备(上海)有限公司 等离子处理装置及其气体输送装置、气体切换方法
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
JP5917477B2 (ja) * 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
JP6359913B2 (ja) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 処理装置
JP6346849B2 (ja) * 2014-08-20 2018-06-20 東京エレクトロン株式会社 ガス供給系、プラズマ処理装置、及びプラズマ処理装置の運用方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
MX359183B (es) 2015-02-17 2018-09-17 Solarcity Corp Metodo y sistema para mejorar rendimiento de fabricacion de celda solar.
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10755902B2 (en) * 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105132889B (zh) * 2015-09-14 2017-11-21 沈阳拓荆科技有限公司 应用于薄膜沉积装置喷淋头中的双气路中心进气结构
TWI548773B (zh) * 2015-10-14 2016-09-11 財團法人工業技術研究院 氣體分佈裝置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6573559B2 (ja) * 2016-03-03 2019-09-11 東京エレクトロン株式会社 気化原料供給装置及びこれを用いた基板処理装置
JP6590735B2 (ja) * 2016-03-04 2019-10-16 東京エレクトロン株式会社 混合ガス複数系統供給システム及びこれを用いた基板処理装置
JP6242933B2 (ja) * 2016-03-31 2017-12-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105834171B (zh) * 2016-05-27 2019-01-22 山东华光光电子股份有限公司 一种利用mocvd设备对石墨托盘进行腐蚀清洗的方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
CN107919298B (zh) * 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP7035581B2 (ja) 2017-03-29 2022-03-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法。
KR102096700B1 (ko) 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
WO2019000164A1 (zh) * 2017-06-26 2019-01-03 深圳市柔宇科技有限公司 等离子体设备和等离子体处理方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN110085510B (zh) * 2018-01-26 2021-06-04 沈阳硅基科技有限公司 一种多层单晶硅薄膜的制备方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7101024B2 (ja) * 2018-04-03 2022-07-14 東京エレクトロン株式会社 温調システム
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202020218A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
WO2020185401A1 (en) * 2019-03-11 2020-09-17 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
US11367594B2 (en) * 2019-11-27 2022-06-21 Applied Materials, Inc. Multizone flow gasbox for processing chamber
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113013011B (zh) * 2019-12-20 2022-11-29 中微半导体设备(上海)股份有限公司 气体分配装置及等离子体处理装置
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
JP2022040737A (ja) * 2020-08-31 2022-03-11 株式会社Screenホールディングス 基板処理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220077875A (ko) * 2020-12-02 2022-06-09 에이에스엠 아이피 홀딩 비.브이. 샤워헤드 어셈블리용 세정 고정구
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102581895B1 (ko) * 2020-12-29 2023-09-22 세메스 주식회사 챔버 내 압력을 제어하기 위한 압력 조절 장치 및 이를 포함하는 기판 처리 장치
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023094256A (ja) 2021-12-23 2023-07-05 東京エレクトロン株式会社 プラズマ処理装置及びガス供給方法
CN114774887A (zh) * 2022-06-22 2022-07-22 拓荆科技(北京)有限公司 气体传输装置、方法和半导体沉积设备
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207808A (ja) 2006-01-31 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007208194A (ja) 2006-02-06 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007214295A (ja) 2006-02-08 2007-08-23 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142449A (ja) 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JPH0896987A (ja) * 1994-09-21 1996-04-12 Mitsubishi Electric Corp プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5877090A (en) 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
JP2000028996A (ja) 1998-07-09 2000-01-28 Denso Corp マトリクス型液晶表示装置
US6277235B1 (en) 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
JP2002155366A (ja) 2000-11-15 2002-05-31 Tokyo Electron Ltd 枚葉式熱処理方法および枚葉式熱処理装置
JP2002261036A (ja) 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2002280357A (ja) 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
JP4106948B2 (ja) 2002-03-29 2008-06-25 東京エレクトロン株式会社 被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP4454964B2 (ja) 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4358727B2 (ja) * 2004-12-09 2009-11-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置及び供給ガス設定方法
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8551248B2 (en) * 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207808A (ja) 2006-01-31 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007208194A (ja) 2006-02-06 2007-08-16 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法
JP2007214295A (ja) 2006-02-08 2007-08-23 Tokyo Electron Ltd ガス供給装置,基板処理装置,ガス供給方法

Also Published As

Publication number Publication date
JP5192214B2 (ja) 2013-05-08
CN103325652B (zh) 2016-05-25
JP2009117477A (ja) 2009-05-28
CN101425450A (zh) 2009-05-06
US20130237058A1 (en) 2013-09-12
US20090117746A1 (en) 2009-05-07
KR20100136951A (ko) 2010-12-29
KR20090045856A (ko) 2009-05-08
TWI447806B (zh) 2014-08-01
CN103325652A (zh) 2013-09-25
US8430962B2 (en) 2013-04-30
US8679255B2 (en) 2014-03-25
KR101162884B1 (ko) 2012-07-05
CN101425450B (zh) 2013-06-05
TW200931517A (en) 2009-07-16

Similar Documents

Publication Publication Date Title
KR101061630B1 (ko) 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법
TWI417945B (zh) 快速氣體轉換電漿處理設備
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US7815740B2 (en) Substrate mounting table, substrate processing apparatus and substrate processing method
EP1708241B1 (en) Capacitively coupled plasma processing apparatus and method
TWI697046B (zh) 蝕刻方法
KR102638344B1 (ko) 가스 공급 시스템, 플라즈마 처리 장치 및 가스 공급 시스템의 제어 방법
TWI436419B (zh) A plasma etch method and a computer readable memory medium
JP2006269879A (ja) エッチング方法及びエッチング装置
US11462412B2 (en) Etching method
TW200823992A (en) Etching method, etching device, computer program, and recording medium
JP2007234770A (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5332362B2 (ja) プラズマ処理装置、プラズマ処理方法及び記憶媒体
US7943523B2 (en) Plasma etching method and computer readable storage medium
JP5580908B2 (ja) ガス供給装置、基板処理装置および基板処理方法
JP2023053351A (ja) プラズマ処理装置
KR20190079565A (ko) 에칭 방법
KR20210032904A (ko) 실리콘 산화막을 에칭하는 방법 및 플라즈마 처리 장치
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
JP2002252213A (ja) プラズマエッチング方法
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク
TW201842557A (zh) 子鰭片至絕緣體矽之轉換
KR20150117215A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 7