CN107622961A - 利用二维纳米物质的半导体器件的制造装置及方法 - Google Patents

利用二维纳米物质的半导体器件的制造装置及方法 Download PDF

Info

Publication number
CN107622961A
CN107622961A CN201710348246.1A CN201710348246A CN107622961A CN 107622961 A CN107622961 A CN 107622961A CN 201710348246 A CN201710348246 A CN 201710348246A CN 107622961 A CN107622961 A CN 107622961A
Authority
CN
China
Prior art keywords
plasma
gas
mentioned
semiconductor devices
chamber wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710348246.1A
Other languages
English (en)
Other versions
CN107622961B (zh
Inventor
李宽珩
李钟荣
郑在桓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IND ACADEMIC COOP
Original Assignee
IND ACADEMIC COOP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IND ACADEMIC COOP filed Critical IND ACADEMIC COOP
Publication of CN107622961A publication Critical patent/CN107622961A/zh
Application granted granted Critical
Publication of CN107622961B publication Critical patent/CN107622961B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

本发明涉及利用二维纳米物质的半导体器件的制造装置及方法。根据本发明的实施例,本发明提供半导体器件的制造装置,上述半导体器件的制造装置包括:控制模块,根据二维纳米物质的多个特性中欲改变的至少一个特性,从多种等离子体处理的方式中选择对应的等离子体处理,并确定与所选择的等离子体处理相对应的可变频率;供电模块,根据上述控制模块的控制,供给具有上述可变频率的电力;以及等离子体处理模块,通过根据具有上述可变频率的电力生成的等离子体来改变上述二维纳米物质的特性。

Description

利用二维纳米物质的半导体器件的制造装置及方法
技术领域
本发明涉及半导体技术,更详细地,涉及利用二维纳米物质的半导体器件的制造装置及方法。
背景技术
半导体器件的制造工序通过多个步骤进行,以多种方式改变物质的特性或者通过去除的工序执行。例如,通过如蚀刻(etching)或涂敷(doping)的物质的处理制造半导体器件。
最近,在半导体器件中,有关利用如石墨烯的二维纳米物质的半导体器件的研究受人瞩目。上述二维纳米物质可以为具有原子形成规定的结晶结构的数层、单层(single-layer)的平面形态的固体。代表性的石墨烯为碳原子形成六角形(hexagonal)结构的单层(单原子层)结构物。上述石墨烯具有比硅(Si)迅速100倍以上的电荷移动度(~2×105cm2/Vs),具有比铜(Cu)大100倍以上的电流密度(约为108A/cm2),并可具有极快的费米速度(VF,Fermi velocity)。因此,上述石墨烯作为能够克服以往半导体器件的限制的下一代半导体器件的材料而被积极开发。并且,作为二维半导体的代表物质的如二硫化钼(MoS2)或黑磷(Black Phosphorus)的物质具有高电荷移动度及光反应性,与没有带隙的石墨烯不同,具有与硅类似的带隙,从而作为半导体器件的未来材料受到瞩目。
在利用上述二维纳米物质的半导体器件的制造工序中,为了改变如蚀刻或涂敷的二维纳米物质的特性,各个工序都使用不同的半导体器件的制造装置。例如,如蚀刻,对二维纳米物质的层产生影响的工序使用积极使用离子的反应性离子蚀刻(RIE,reactive ionetching)装置,如涂敷的不纯物注入工序通过退火(annealing)装置执行。
因此,以往,在改变各个二维纳米物质的特性的步骤适用多种制造装置来执行对应工序,因此,需要复杂的工序。并且,因上述复杂的工序,可延迟制造工序时间,并需要购买及维护所需的各个半导体器件的制造装置,因此,制造成本会上升。
发明内容
因此,本发明所要解决的技术问题在于,提供简化复杂的半导体工序,并减少元件的制造费用,且还可以使工序时间的延迟最小化的二维纳米物质的多种变化的半导体器件的制造装置。
并且,本发明所要解决的其他技术问题在于,提供具有上述优点的半导体器件的制造方法。
根据本发明的一实施方式(aspect),本发明提供半导体器件的制造装置,上述半导体器件的制装置包括:控制模块,根据二维纳米物质的多个特性中欲改变的至少一个特性,从多种等离子体处理(plasma-treatment)的方式中选择对应的等离子体处理,并确定与所选择的等离子体处理相对应的可变频率;供电模块,根据上述控制模块的控制,供给具有上述可变频率的电力;以及等离子体处理模块,通过根据具有上述可变频率的电力生成的等离子体来改变上述二维纳米物质的特性。
上述供电模块包括:整流部,用于将第一交流信号转换为直流信号;开关部,用于将经转换的上述直流信号生成为脉冲信号;以及升压部,用于将上述脉冲信号升压成第二交流信号。上述第二交流信号的电压大于上述第一交流信号的电压,上述第二交流信号的频率和与所选择的上述等离子体处理相对应的可变频率相同。上述开关部包括至少一个场效应晶体管(FET,Field Effect Transistor)。
上述升压部包括用于将直流信号转换为交流信号的变压器,上述变压器的匝比为1:5及1:8中的一个。
上述供电模块还包括:电流检测部,用于测定上述脉冲信号的电流值;电流监控部,用于监控在上述电流检测部检测的电流;反馈部,基于上述电流检测部监控的结果来控制脉冲宽度(pulse width);以及开关控制部,根据通过上述反馈部控制的脉冲宽度产生脉冲宽度调制(PWM,pulse width modulation)信号,上述脉冲宽度调制信号为用于生成上述开关部的上述脉冲信号的开关控制信号。
本发明还包括输入模块,其中,上述输入模块提供用户接口,用于根据上述二维纳米物质的多个特性中欲改变的至少一个特性,从上述多种等离子体处理的方式中选择一种等离子体处理。
上述可变频率在10kHz至100kHz的范围。上述等离子体处理为利用等离子体的蚀刻、利用等离子体的涂敷、利用等离子体的缺陷生成、利用等离子体的表面整理中的一个。上述二维纳米物质包含石墨烯、硅烯(silicene)、黑磷、硼墨烯(Borophene)、金属硫族化合物类物质、金属氧化物中的一种至多种。
上述等离子体处理模块包括:工艺腔室,包括中空型第一腔室壁、第二腔室壁及第三腔室壁、气体引入口及排气口,上述中空型第一腔室壁包括用于限定内部的反应空间的开放的2个端部,上述第二腔室壁及第三腔室壁分别与上述中空型第一腔室壁的两端部相结合,上述气体引入口及排气口配置于上述中空型第一腔室壁、第二腔室壁及第三腔室壁中的至少一个;第一电极,配置于上述工艺腔室的第一腔室壁;第二电极,配置于上述第二腔室壁及上述第三腔室壁中的至少一个;供气部,通过上述工艺腔室的上述气体引入口向上述反应空间内部供给用于等离子体处理的工艺气体;以及排气部,通过上述工艺腔室的上述排气口排出上述工艺气体。
上述第一腔室壁为绝缘体,上述第二腔室壁及第三腔室壁为导电体。在上述第二腔室壁及上述第三腔室壁分别配置上述第二电极并形成一体。上述第一电极与交流电源相连接,上述第二电极接地。上述第一腔室壁具有圆筒形或角形垂直剖面。
上述等离子体处理模块包括:工艺腔室,具有相互连通的第一空间及第二空间;基板支架,形成于上述第一空间,用于支撑需被处理的基板;等离子体发生器,以向上述第二空间内引导等离子体的方式与上述工艺腔室相结合;离子种类筛分部件,用于筛分从上述工艺腔室的上述第二空间向上述第一空间扩散的上述等离子体的离子种类;供气部,用于向上述第二空间供给用于处理上述基板的工艺气体;以及排气部,以从上述第二空间向上述第一空间引导气体流动的方式与上述工艺腔室相结合。上述等离子体发生器为远程等离子体发生装置。
上述工艺气体为第一等离子体气体、第二等离子体气体、第三等离子体气体及第四等离子体气体中的一种,上述第一等离子体气体为氯类气体、氟类气体、氧气(O2)、氢气(H2)及氢溴酸右美沙芬(HBr)中的至少一种,上述氯类气体为氯气(Cl2)、四氯化碳(CCl4)或三氯化硼(BCl3),上述氟类气体为四氟化碳(CF4)、三氟化氮(NF3)、六氟化乙烷(C2F6)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、氟利昂气体(CClF3)、哈龙气体(CBrF3)或六氟化硫(SF6),上述第二等离子体气体为乙硼烷(B2H6)、磷化氢(PH3)、砷化氢(AsH3)、锗烷(GeH4)、甲烷(CH4)、氨(NH3),氟化氮(NF3)、氧气、氢气、氮气(N2)、氟化氢(HF)及硅烷(SiH4)中的至少一种,上述第三等离子体气体为氧气、氢气及氮气中的至少一种,上述第四等离子体气体为氧气、氢气、氮气、氩气、氦气中的至少一种。
根据本发明的另一实施方式,本发明包括:向工艺腔室的第一空间的基板支架上提供需被处理的二维纳米物质的步骤;对上述二维纳米物质选择用于改变多种特性的多种等离子体处理中的一种等离子体处理的步骤;确定与所选择的上述等离子体处理相对应的可变频率的步骤;供给具有上述可变频率的电力的步骤;以及通过根据具有上述可变频率的电力生成的等离子体来改变上述二维纳米物质的特性的步骤。
上述供电步骤包括:将第一交流信号转换为直流信号的步骤;将经转换的上述直流信号转换为脉冲信号的步骤;以及将上述脉冲信号升压成第二交流信号的步骤。
上述可变频率在10kHz至100kHz的范围。上述等离子体处理为利用等离子体的蚀刻、利用等离子体的涂敷、利用等离子体的缺陷生成、利用等离子体的表面整理中的一种。上述二维纳米物质包含石墨烯、硅烯(silicene)、黑磷(black phosphorus)及金属硫族化合物类物质中的一种至多种。
发明的作用与效果
根据本发明,本发明可提供利用二维纳米物质的半导体器件的制造装置,上述半导体器件的制造装置包括:控制模块,根据二维纳米物质的多个特性中欲改变的至少一个特性,从多种等离子体处理的方式中选择对应的等离子体处理,并确定与所选择的等离子体处理相对应的可变频率;供电模块,根据上述控制模块的控制,供给具有上述可变频率的电力;以及等离子体处理模块,通过根据具有上述可变频率的电力生成的等离子体来改变上述二维纳米物质的特性,在相同的装置中,通过频率、电力、气体的变化来对二维物质进行多种表面工序,由此,简化复杂的半导体工序,并减少元件的制造费用,且还可以使工序时间的延迟最小化。
并且,本发明还可提供具有上述优点的半导体器件的制造方法。
附图说明
图1为本发明实施例的半导体器件的制造装置的结构框图;
图2为本发明实施例的半导体器件的制造装置的供电模块的详细功能框图;
图3a和图3b为本发明实施例的半导体器件的制造装置的等离子体处理模块的详细功能框图;
图4为本发明实施例的半导体器件的制造方法的流程图;
图5a及图5b为本发明实施例的等离子体处理前及等离子体处理后各个二维纳米物质的显微镜照片和厚度测定图像;
图6为比较本发明实施例的等离子体处理前及等离子体处理后的二维纳米物质的拉曼光谱的图表;
图7a及图7b为本发明实施例的等离子体处理前及等离子体处理后各个二维纳米物质的表面的图像;
图8为比较本发明实施例的等离子体处理前后的二维纳米物质的图像及拉曼光谱的图表。
附图标记的说明
10:控制模块
20:供电模块
30:等离子体处理模块
40:输入模块
50:输出模块
具体实施方式
以下,参照说明书附图,详细说明本发明的优选实施例。
本发明实施例为了向本发明所属技术领域的普通技术人员更加完美地说明本发明而提供,以下实施例可变形为多种形态,本发明的范围并不局限于以下实施例。反而,它们实施例使本公开变得更充实更完整,并向本发明所属技术领域的普通技术人员完全传达本发明的思想。
其中,相同附图标记是指相同结构要素。并且,如在本说明书中使用,术语“和/或”包括对应列举的项目中的一个及一个以上的所有组合。
在本说明书中使用的术语用于说明实施例,而并非用于限制本发明的范围。并且,在本说明书中,即使记载为单数,只要在文脉上并未明确指定单数,则可包括复数形态。并且,在本说明书中使用的“包括(comprise)”和/或“包括的(comprising)”等术语特定提及的形状、数字、步骤、动作、部件、要素和/或它们组合的存在,而并非排出其他形状、数字、动作、部件、要素和/或组的存在或附加可能性。
在本说明书中,对于在基板或其他层“上(on)”形成的层的提及是指形成于上述基板或其他层的直接上方的层,或者可以指形成于上述基板或其他层上的中间层或形成于中间层上的层。并且,对本发明所属技术领域的普通技术人员来说,与其他形状“相邻(adjacent)”配置的结构或形状与上述相邻的形状重叠或者配置于下部的部分。
在本说明书中,如“下(below)”、“上(above)”、“上部的(upper)”、“下部的(lower)”、“水平的(horizontal)”或“垂直的(vertical)”的相对术语为了记述如图所示,一结构部件、层或区域不同的结构部件、层或区域所具有的关系而使用。它们术语不仅包括在图中表示的方向,而且还包括元件的其他方向。并且,在本说明书中所使用的“二维纳米物质”为由多个原子排列成一层,上述层至少排列层一列的二次元结构的所有物质。
以下,参照简要示出的剖视图,说明本发明的优选实施例(及中间结构)。在上述附图中,例如,附件的大小和形状为了说明的便利和明确性而被扩大,当实际体现时,可预想所示的形状的变形。因此,本发明的实施例并不局限于本说明书中示出的区域的特定形状。并且,在整个附图中,图中的部件的附图标记指相同部件。
图1为本发明实施例的半导体器件的制造装置100的结构框图。
参照图1,半导体器件的制造装置100可包括控制模块10、供电模块20及等离子体处理模块30。在另一实施例中,半导体器件的制造装置100还可包括用于提供输入接口的输入模块40和用于提供输出接口的输出模块50。
控制模块10作为控制整个半导体器件的制造装置100的模块,可由至少一个处理器芯片、软件或它们的集合体体现。例如,控制模块10以能够通过等离子体处理改变配置于等离子体处理模块30内的二维纳米物质的特性的方式控制供电模块20和/或等离子体处理模块30。
上述二维纳米物质可包含石墨烯、硅烯、黑磷、硼墨烯、金属硫族化合物类物质、金属氧化物(oxide)(例如,MoO3,TiO2)中的一种至多种(例如,层叠结构、它们的混合物或化合物)。上述金属硫族化合物可包含Mo、W、Nb、V、Ta、Ti、Zr、Hf、Tc、Re、Cu、Ga、In、Sn、Ge、Pb中的一种金属元素和S、Se、Te中的一个硫族元素的二维纳米物质。例如,上述金属硫族化合物可包括MoS2、MoSe2、MoTe2、WSe2、WTe2、WS2、ZrS2、ZrSe2、HfS2、HfSe2及NbSe2中的一种。本实施例在实际应用中,金属硫族化合物类物质可包含包括MoS2、MoSe2、MoTe2、WSe2、WTe2或WS2的第一金属硫族化合物物质和包含ZrS2、ZrSe2、HfS2、HfSe2或NbSe2的第二金属硫族化合物物质相互共享结合或通过金属结合生成的反应化合物。
控制模块10从上述输入模块40接收通过输入模块40选择的等离子体处理的种类和/或对于所选择的用于上述等离子体处理的参数(例如,气体种类/流量、频率、电力、时间)的信息,并确定所选择的用于上述等离子体处理的输入参数。尤其,控制模块10可根据二维纳米物质的多个特性中欲改变的至少一个特性,从多种等离子体处理的方式中选择对应的等离子体处理,并通过等离子体确定与所选择的等离子体处理相对应的可变频率并且,控制模块10可向输出模块50提供确定的上述输入参数和/或上述二维纳米物质的特性改变的结果。
控制模块10以能够向等离子体处理模块30供给具有与上述选择的等离子体处理相对应的频率的电力的方式控制供电模块20。控制模块10可使与上述选择的等离子体处理相对应的气体流量从外部向等离子体处理模块30供给。并且,控制模块10可根据选择的上述等离子体处理,以按固定的方式形成等离子体的方式控制等离子体处理模块30。
供电模块20根据控制模块10的控制来向等离子体处理模块30供给具有与上述选择的等离子体处理相对应的可变频率的电力。以下,图2中记述对于供电模块20的详细说明。
等离子体处理模块30可在内部配置上述二维纳米物质,基于具有与从供电模块20接收的上述选择的等离子体处理相对应的可变频率的电力和根据控制模块10的控制流入的工艺气体(例如,用于等离子体处理的气体),在已确定的时间内产生等离子体来改变上述二维纳米物质的特性。上述等离子体处理可通过基于在等离子体状态下发生的离子的物理反应机构和在等离子体状态下发生的中性物质的化学反应机构中的至少一个来执行。以下,在图3a和3b中记述对于等离子体处理模块30的详细说明。
输入模块40发生用于半导体器件的制造装置100的动作控制的输入数据,并可向控制模块10提供上述数据。输入模块40可由小键盘(key pad)、键盘、物理或电机学开关(例如,开关齿轮、压力开关、按压开关、薄膜开关)、触摸板(静压、静电)、触摸板、转轮、合式开关、语音识别装置或它们的组合。但是,在本发明中,输入模块40的结构并不局限于此。
例如,当通过用户接口或用户输入(或操作),选择与二维纳米物质的特性变化相关的多种等离子体处理中的一种时,输入模块40可向控制模块10供给对于与选择的等离子体处理相关的输入参数的信息。所选择的上述等离子体处理可以为蚀刻、涂敷、缺陷生成、表面缺陷去除或表面干式清洗中的一个,上述输入参数可包括用于上述选择的等离子体处理的气体种类/流量、频率、电力及时间中的至少一个以上。例如,当选择用于生成缺陷的第一等离子体处理时,输入模块40提供作为与气体种类/流量相关的信息,例如,O2/20sccm、作为与频率相关的信息的50kHz、与电力相关的信息的50W及与时间相关的信息的5秒钟的输入参数值,当选择用于蚀刻的第二等离子体处理时,向控制模块10提供如50W、100kHz、20sccm、O2、3分钟的输入参数值,当选择用于涂敷的第三等离子体处理时,输入模块40向控制模块10提供作为与气体种类/流量相关的信息的O2/20sccm、作为与频率相关的信息的20kHz、作为与电力相关的信息的20W及与时间相关的信息的10秒钟的输入参数值。当选择用于整理基板表面的第四等离子体处理时,输入模块40向控制模块10提供作为与气体种类/流量相关的信息的O2/20sccm、与频率相关的信息的100kHz、与电力相关的信息的100W及与时间相关的信息的3分钟的输入参数值。在上述等离子体处理装置中,具体参数值仅是例示性参数,本发明并不局限于此。
在另一实施例中,石墨烯的表面整理可在其他二维纳米物质的蚀刻的第二等离子体处理过程中观测。例如,石墨烯的表面整理的输入参数值可以与用于其他二维纳米物质的蚀刻的第二等离子体处理的输入参数值类似。即,石墨烯的表面整理的输入参数值可以为O2/100sccm(或20sccm)、100kHz、50W及3分钟。
本实施例在实际应用中,上述输入参数值不仅根据等离子体处理的种类改变,而且还根据改变特性的二维纳米物质的种类改变。例如,在对石墨烯进行等离子体蚀刻的情况下的输入参数(例如,气体种类/流量、频率、电力及时间)和在对金属硫族化合物进行等离子体蚀刻的情况下的输入参数可以不同。因此,上述输入参数可根据等离子体处理的种类和二维纳米物质的种类确定,与等离子体处理的种类及二维纳米物质的种类相关的输入参数值可由查找表构成,上述查找表可存储于在半导体器件的制造装置100中的存储器(未图示)。上述查找表可由半导体制造公司以默认值提供,可根据半导体器件的制造装置100的使用人员进行更新。
在另一实施例中,输入参数值,例如,气体种类/流量、频率、电力及时间可根据使用人员输入或操作设定。即,使用人员直接通过输入模块40来输入各个输入参数值。
输出模块50可从控制模块10接收用于控制动作的输出数据,并对其进行处理。输出模块50可由如液晶显示器(LCD,Liquid Crystal Display)的显示装置、扬声器、发光二极管显示灯或它们的组合形成。但是,在本发明中,输出模块50的结构并不局限于此。
输出模块50从控制模块10显示上述选择的输入参数,或者通过扬声器输出通知对应等离子体处理的结构的警报音,或者可通过液晶显示器显示。并且,计算对应等离子体处理的进行时间来显示,或者以视觉或文本的形式表示通过对应等离子体处理改变二维纳米物质的特性的结果。
本实施例在实际应用中,输入模块40和输出模块50可以合并为一个显示装置。例如,在显示装置中,若发生触摸输入,则可显示与触摸输入相对应的输出结果。
根据上述实例,通过对控制模块10进行控制,上述输入参数值不仅根据等离子体处理的种类而改变,而且还根据需要改变的二维纳米物质的种类变更。尤其,控制模块10为了改变在上述输入参数值中的电力的频率而控制供电模块20,由此,对频率的依赖性高的多种等离子体处理可在相同的一个装置内改变频率。并且,在相同一个装置中,通过改变频率来改变二维物质的特征,由此可在一个装置内执行蚀刻、涂敷、缺陷生成、表面缺陷去除或表面干式清洗的工序步骤。
图2为本发明实施例的半导体器件的制造装置的供电模块20的详细功能框图。
参照图2,供电模块20可包括整流部200、开关部210、升压部220、电流检测部230、电流监控部240、反馈部250及开关控制部260。
整流部200可包括二极管和电容滤波电路。整流部200将输入的交流信号转换为直流信号并向开关部210输出经转换的直流信号。例如,上述交流信号通过上述二极管后具有单向性,并通过上述电容滤波电路进行直流输出。例如,向整流部200输入的交流信号(以下,称之为第一交流信号)可以为AC110V、AC220V或AC380V。
并且,例如,整流部200可包括半波整流电路、全波整流电路、桥式整流电路及电压倍增整流电路中的一种或它们的组合。但是,整流部200并不局限于此。例如,整流部200可包括用于减少谐波和改善功率因数的AC-DC升压转换器电路。
开关部210可包括至少一个开关增幅器。上述开关增幅器可以为双极晶体管及场效应晶体管(FET,Field Effect Transistor)中的一种或它们的组合。优选地,上述开关增幅器为了通过使开关控制部260的散热最小化来提高开关动作的可靠性而由输入阻抗高的场效应晶体管构成。
并且,开关部210基于通过开关控制部260发生的脉冲宽度调制信号来开闭从整流部200供给的直流信号并输出脉冲信号,且可向升压部220提供上述脉冲信号。开关部210的输入阻抗高,因此,其中,开关控制部260可向开关部210供给具有小电流信号的脉冲宽度调制信号。
升压部220可将从开关部210的上述脉冲信号转换为交流信号,并向等离子体处理模块30供给转换的上述交流信号(以下,称之为第二交流信号)。此时,上述第二交流信号可以为通过升压部220得到升压而高于上述第一交流信号的高电压交流信号,上述第二交流信号的频率可以与对应上述选择的等离子体处理的频率相对应。并且,升压部220可以为将输入直流信号转换为输出交流信号的变压器。此时,匝比可以为1:5或1:8。但是,在本发明中,并不局限于一DC-AC转换的变压器,而是可适用多种DC-AC变流器。
在本发明的另一实施例中,代替升压部220的高电压交流信号,向等离子体处理模块30输入开关部210的直流脉冲信号,从而可用于多种等离子体处理。
电流检测部230可测定从开关部210向升压部220攻击的脉冲信号的电流。电流检测部230为用于测定电流的转换元件,可以为电流传感器(current transducer)、分流电阻(shunt resistor)、霍尔IC(hall IC)、磁阻(magnetoresistive)中的一种。但是,本发明并不局限于此。
电流监控部240实时监控通过电流检测部230测定的电流,并向反馈部250提供上述结果。并且,因通过电流检测部230测定的电流为模拟值,因此,电流监控部240将模拟值转换为数字值之后,向反馈部250提供转换的数字值。
反馈部250基于从电流监控部240监控的脉冲信号的数字直流值来控制脉冲宽度。例如,反馈部250求出监控的脉冲信号和所需要的脉冲信号(例如,有关与选择的等离子体处理相对应的频率的脉冲信号)的差来控制脉冲宽度。
开关控制部260通过控制模块10的控制来在数kHz值数百kHz的范围内产生脉冲宽度调制信号并向开关部210提供。优选地,脉冲宽度调制信号在10kHz至100kHz的范围。并且,开关控制260通过反馈部250脉冲宽度控制修改脉冲宽度调制信号。但是,在本发明中,脉冲宽度调制信号的频率范围并不局限于10kHz至100kHz的范围。
开关控制部260可包括比较器和三角波发生器。三角波发生器根据时钟频率生成基准三角波信号,比较器比较从三角波发生器发生的基准三角波信号和输入信号(例如,整流部200的第一交流信号),在输入信号大的情况下,输出开启信号,在三角波信号大的情况下,输出关闭信号。结果,开关控制部260按脉冲宽度调制信号规定的周期发生与输入信号的大小成比例的脉冲宽度。
图3a为本发明另一实施例的半导体器件的制造装置等离子体处理模块30的示意图。
参照图3a,等离子体处理模块30包括工艺腔室(CB)、用于向工艺腔室(CB)内部注入用于等离子体处理的工艺气体的供气部(IL)及向外部排出工艺腔室(CB)内部的气体的排气部(OL)。
工艺腔室可包括2个端部的中空型第一腔室壁301、分别与上述中空型第一腔室壁301的两端部相结合的第二腔室壁302(左侧部分)及第三腔室壁303(右侧部分)。第一腔室壁301、第二腔室壁302及第三腔室壁303的内部限定用于等离子体处理的反应空间。它们腔室壁至少由一个以上的部分构成或形成为一体。
第一腔室壁301包含如石英或水晶的绝缘材料。但是,在本发明中,腔室壁302的材料并不局限于此。例如,第一腔室壁301可由作为绝缘体的陶瓷形成。第一腔室壁301可包括呈管道形态,并具有相对于地面平行的中心轴的圆筒型气缸。但是,在本发明中,第一腔室壁301并不局限于圆筒型气缸。例如,工艺腔室308可呈四角形或六角形。
在一实施例中,本发明可包括用于向工艺腔室的第一腔室壁301供电的第一电极311。第一电极311在工艺腔室308的第一腔室壁301以圆筒的板形态涂敷或者以膜形态涂敷。第一电极311可包括如金、铜、白金、铝、铬、镍的导电性金属材质、如氧化锌(ZincOxide)、镓掺杂氧化锌(Ga-doped ZnO)、氧化铟锡(Indium Tin Oxide)、氧化铟镓(IndiumGallium Oxide)、氧化铟锌(Indium Zinc Oxide)、铟镓锌氧化物(Indium Gallium ZincOxide)、氧化锌锡(Zinc Tin Oxide)的金属性氧化物薄膜或它们的层叠结构。
为了通过第一腔室壁301的第一电极311来向反应内部诱导等离子体,本发明还可包括供电部306。供电部306可向工艺腔室供给交流电源。
在一实施例中,本发明提供与第二腔室壁302和/或第三腔室壁303一体化,且用于进行供电的第二电极312、313。由此,第二腔室壁302及第三腔室壁303自身可以起到第二电极312、313的功能。并且,在第二腔室壁302和第三腔室壁303为导电材料的情况下,不形成额外的第二电极312、313,向第二电极312、313施加接地电压。其中,在上述反应空间内,为了对称地维持等离子体的分布,第二腔室壁和第三腔室壁303均可起到第二电极312、313的功能。相反,在上述反应空间内,为了非对称地维持等离子体的分布,在第二腔室壁302及第三腔室壁303中的一个起到第二电极312、313的功能,另一个不会执行第二电极的功能。
在基板(未图示)上可配置需要改变特性的二维纳米物质(例如,石墨烯、硅烯、黑磷及金属硫族化合物类物质)。上述基板可通过工艺腔室内部的支撑杆与地面平行。因此,可以与上述二维纳米物质或地面平行。但是,在本发明中,上述基板或上述二维纳米物质的配置并不局限于此。例如,通过第一腔室壁301和第二腔室壁的一个固定部件(未图示),上述二维纳米物质与地面垂直或者在0或90°的范围内倾斜。
在本发明的一实施例中,在基板(未图示)上放置需要改变特性的二维纳米物质的状态下,向工艺腔室内注入需要等离子体处理的工艺气体。可根据向工艺腔室内注入的工艺气体的量来调节压力。上述工艺气体的种类、压力和交流电源的频率可根据通过输入模块40选择的等离子体处理的种类确定。
上述工艺气体可以为反应性气体和惰性气体中的一个。上述惰性气体可包含氦、氖、氩、氙和氪中的一种。并且,上述工艺气体可分为用于利用等离子体的蚀刻的气体(以下,称之为“第一气体”)、用于利用等离子体的涂敷的气体(以下,称之为“第二等离子体气体”)、用于利用等离子体的缺陷生成的气体(以下,称之为“第三等离子体气体”)及用于利用等离子体的表面处理的气体(以下,称之为“第四等离子体气体”)。上述利用等离子体的蚀刻为利用等离子体反应来对二维纳米物质进行蚀刻的工序,上述利用等离子体的涂敷为利用等离子体反应来向二维纳米物质注入不纯物或者在二维物质的表面结合气体原子的工序,上述利用等离子体的缺陷生成为利用等离子体反应来在二维纳米物质内部或表面形成悬空键(dangling bond)并与气体原子形成sp3结合,或者生成气孔或销孔的工序,上述利用等离子体的表面异物处理或表面干式清洗的表面整理为利用等离子体反应来对二维纳米物质的表面进行杀菌或清洗或者使二维纳米物质的表面特性均匀的工序。
上述第一等离子体气体可包含包括反应性大的卤素的气体,例如,氯类气体、氟类气体、氧气、氢气及氢溴酸右美沙芬中的至少一种,上述氯类气体为氯、四氯化碳或三氯化硼,及上述氟类气体为四氟化碳、三氟化氮、六氟化乙烷、三氟甲烷、二氟甲烷、氟利昂气体、哈龙气体或六氟化硫。但是,在本发明中,上述第一等离子体气体并不局限于此,可适用用于二维纳米物质的蚀刻的其他种类的气体。上述第二等离子体气体可包含乙硼烷、磷化氢、砷化氢、锗烷、甲烷、氨,氟化氮、氧气、氢气、氮气、氟化氢及硅烷中的至少一种。但是,在本发明中,上述第二等离子体气体并不局限于此,可适用用于涂敷的多种气体。上述第三等离子体气体可包含氧气、氢气及氮气中的至少一种,上述第四等离子体气体包含氧气、氢气、氮气、氩气、氦气中的至少一种。但是,在本发明中,第三等利器气体及第四等离子体气体并不局限于此。
本实施例在实际应用中,第三等离子体气体可被用作其他用途的等离子体气体。例如,为了生成二维纳米物质的缺陷而使用的氧气可被用作第一等离子体气体(即,用于蚀刻的气体)。
在另一实施例中,用于利用等离子体的蚀刻的工艺气体混合使用上述第一等离子体气体及上述惰性气体,或者,用于利用等离子体的涂敷的气体混合使用上述第二等离子体气体及上述惰性气体。
第二腔室壁302和第三腔室壁303接地,若通过第一电极311向第一腔室壁301施加交流电源,则通过工艺腔室内的电场生成等离子体。供给交流电源的第一电极311以圆筒板的形态覆盖绝缘性第一腔室壁301,因此,第一电极311可以与工艺腔室内的等离子体电分离。其中,第一腔室壁301具有比向反应控制内部诱导的等离子体低的电位,由此,等离子体内离子种类向配置于上述基板(未图示)的二维纳米物质的表面对称地或非对称地入射并引起物理化学反应。例如,在第二腔室壁302和第三腔室壁303作为第二电极312、313动作的情况下,离子种类对称地向配置于基板(未图示)的二维纳米物质表面入射。在第二腔室壁302和第三腔室壁303中的一个起到第二电极312、313的功能的情况下,离子种类非对称地向配置于基板(未图示)的二维纳米物质表面入射。
图3b为本发明另一实施例的半导体器件的制造装置的等离子体处理模块30的详细示意图。
参照图3b,工艺腔室300具有相互可以流动气体的第一空间V1及第二空间V2。第一空间V1及第二空间V2可通过离子种类筛分部件IS相互分离。之后,单独详细说明离子种类筛分部件IS。在第一空间V1形成用于支撑配置有需要改变特性的二维纳米物质(例如,石墨烯、硅烯、黑磷及金属硫族化合物类物质)的基板2DM的基板支架310。在基板支架310可形成多个基板。本实施例在实际应用中,上述二维纳米物质没有基板2DM地配置于基板支架310上。
在工艺腔室300的第二空间V2内,提供用于改变上述二维纳米物质的特征的工艺气体(通过箭头A表现)。为了提供上述工艺气体而提供与第二空间V2相结合的供气部IL。上述供气部IL可包括:罐,收容用于生成工艺气体的原材料;配管,用于向工艺腔室传递反应性气体;以及阀系统,用于控制工艺气体的流动。本实施例在实际应用中,在使用工艺气体的情况下,可省略如罐的部件。
在本发明的实施例中,供气部IL通过控制模块10的控制,基于改变上述特性的二维纳米物质的种类及选择的等离子体处理的种类中的至少一个确定工艺气体的种类及气体流速及工艺腔室300内的压力(Torr),并按已设定的气体流速向工艺腔室300提供上述确定的工艺气体。例如,在通过控制模块10确定用于蚀刻的等离子体处理之后,供气部IL按规定的流速向工艺腔室300提供用于蚀刻的工艺气体。
上述工艺气体可以为反应性气体和惰性气体中的一个。上述惰性气体可包含氦、氖、氩、氙和氪中的一种。
第一等离子体气体为包含反应性大的卤素的气体,例如,氯类气体、氟类气体、氧气、氢气及氢溴酸右美沙芬中的至少一种,上述氯类气体有氯气、氯化碳、三氯化硼,及上述氟类气体有四氟化碳、三氟化氮、六氟化乙烷、三氟甲烷、二氟甲烷、氟利昂气体、哈龙气体、六氟化硫。但是,在本发明中,上述第一等离子体气体并不局限于此,也可以适用用于二维纳米物质的蚀刻的其他种类的气体。
第二等离子体气体为乙硼烷、磷化氢、砷化氢、锗烷、甲烷、氨、氟化氮、氧气、氢气、氮气、氟化氢及硅烷中的至少一种。但是,在本发明中,上述第二等离子体气体并不局限于此,也可适用用于涂敷的其他种类的气体。
上述第三等离子体气体为氧气、氢气及氮气中的至少一种,上述第四等离子体气体为氧气、氢气、氮气、氩气、氦气中的至少一种。但是,在本发明中,上述第三等离子体气体及第四等离子体气体并不局限于此。
本实施例在实际应用中,第三等离子体气体也可以被利用为其他用途的等离子体气体。例如,为了二维纳米物质的缺陷生成而使用的氧气可以被利用为第一等离子体气体(即,用于蚀刻的气体)。
在另一实施例中,用于利用等离子体的蚀刻的工艺气体可以为上述第一等离子体气体及上述惰性气体的混合,或者用于利用等离子体的涂敷的气体可以为上述第二等离子体气体及上述惰性气体的混合。
上述等离子体气体通过连续、间歇,例如,脉冲供给或它们的组合的方式向工艺腔室300的第二空间V2提供。例如,两个等离子体气体均同时连续地向工艺腔室300的第二空间V2供给,或者两个等离子体气体均间歇地向工艺腔室300的第二空间V2交替供给,或者一个等离子体气体连续地,而另一个等离子体气体间歇地向工艺腔室300的第二空间V2供给。
在本发明的实施例中,工艺腔室300的第二空间V1内与用于引导等离子体的等离子体发生装置相结合。上述等离子体发生装置设置于形成第二空间V2的工艺腔室300的内侧或外侧,基本上,优选地,在第二空间V2内,局部性点火及维持等离子体。本实施例在实际应用中,上述等离子体发生装置形成于工艺腔室300的第二空间V2内,来利用与直流或交流电源相结合的电极(未图示)或者形成于工艺腔室300的外侧的电磁场或线圈或磁铁(未图示)向第二空间V2内限定等离子体。其中,形成于第二空间V2内的直流或交流电源从供电模块20供给,上述直流或交流电源的频率及电力基于已选择的等离子体处理来通过控制模块10确定。上述装置仅是例示性实施例,可适用如适合于等离子体放电和维持的微波发生器的其他公知的等离子体源。
本实施例在实际应用中,如图3b所示,等离子体处理模块30可以为远程等离子体发生装置RP。上述远程等离子体发生装置可包括从第二块空间V2扩张的扩张部320相结合的远程等离子体源。在扩张部320内,局部等离子体为高密度等离子体,在此情况下,第二空间V2可位于在扩张部320内发生的等离子体的流动的下路。上述远程等离子体源可以为电场诱导线圈、磁铁或微波发生器,图3b示出电场诱导线圈330。但是,这仅是实施例,本发明并不局限于此。
上述供气部IL可以与扩张部320相结合。在此情况下,上述工艺气体通过上述远程等离子体源而在扩张部320内处于等离子体状态并向第二空间V2传递。在另一实施例中,包括上述工艺气体的反应性气体和惰性气体分别由单独的供气部供给。
在另一实施例中,在第一空间V1、第二空间V2或扩张部320提供给用于提供净化气体的供气部IL。上述净化气体向反应性气体的脉冲之间提供,来去除工艺腔室300内的残留气体或不纯物气体。
等离子体处理模块30以从工艺腔室300的第二空间V2向第一空间V1引导气体流动的方式包括排气部OL。如图3所示,排气部OL直接与第一空间V1相结合,从而引导从第二空间V2向第一空间V1的气体流动。排气部OL的部位并不局限于腔室的边缘,也可以形成于工艺腔室300的中央底部。
如本发明所属技术领域中,排气部OL可包括抵押真空泵和用于控制工艺腔室300的压力的阀系统。在进行用于改变二维纳米物质的特性的等离子体处理工序期间,通过排气部IL排出未反应物质或反应副产物。
第一空间V1和第二空间V2可被离子种类筛分部件IS分割。离子种类筛分部件IS阻断形成于第二空间V2内的等离子体的离子种类并选择性向第一空间V1传递如基的中性种类。为此,离子种类筛分部件IS与第二空间V2的等离子体相接并形成鞘(sheath)或者提供用于还原阳离子种类的电子来过滤具有高能量的阳离子,并连通第一空间V1和第二空间V2来形成具有通过中性种类的开口区域。例如,离子种类筛分部件IS作为上述开口区域,可以为包括贯通孔的板、网、带点壁体或电源,并可而具有组合它们特性的其他适当结构。图3b示出网状的离子种类筛分部件IS。
如图3b所示,等离子体处理模块30可呈使工艺气体A相对于基板2DM的周面具有水平流动的结构。但是,这仅是例示性实施例,第一工艺气体A与通过离子种类筛分部件IS的中性种类混合,以向基板2DM的周面均匀地垂直供给上述混合气体的方式在第一空间V1的内部提供适合的淋浴头或混合部件。
图4为本发明实施例的半导体器件的制造方法的流程图。
参照图4,在等离子体处理模块30的工艺腔室300内提供形成于第一空间的基板支架上的二维纳米物质(步骤S1)。对上述二维纳米物质选择用于改变多种特性的多种等离子体处理中的一种(步骤S2)。上述多种等离子体处理可以为蚀刻、涂敷、缺陷生成及表面整理中的一种,可确定用于上述选择的等离子体处理气体种类/流量、频率、电力及时间中的至少一个以上。上述气体种类为用于选择的等离子体处理的工艺气体的种类(例如,第一等离子体气体至第四等离子体气体),上述气体流量与基于生成等离子体的离子和/或阳性离子的移动速度相关,频率及电力为等离子体处理模块30的电源,上述时间为用于对应等离子体处理的时间。
例如,当选择用于缺陷生成的第一等离子体处理时,输入模块40确定如作为与气体种类/流量相关的信息的O2/20sccm、作为与频率相关的信息的50kHz、作为与电力相关的信息的50W及作为与时间相关的信息的5秒钟的输入参数值。或者,当选择用于蚀刻的第二等离子体处理时,确定如50W、100kHz、20sccm、O2、3分钟的输入参数值。
当选择用于涂敷的第三等离子体处理时,输入模块40向控制模块10提供如作为与气体种类/流量相关的信息的O2/20sccm、作为与频率相关的信息的20kHz、作为与电力相关的信息的20W及作为与时间相关的信息的10秒钟的输入参数值。当选择用于基板的表面处理的第四等离子体处理时,输入模块40向控制模块10提供如作为与气体种类/流量相关的信息的O2/20sccm、作为与频率相关的信息的100kHz、作为与电力相关的信息的100W及作为与时间相关的信息的10分钟的输入参数值。
在另一实施例中,石墨烯的表面整理可在用于蚀刻的第二等离子体处理过程中观测。例如,石墨烯的表面整理的输入参数值可以与用于蚀刻的第二等离子体处理的输入参数值类似。即,石墨烯的表面整理的输入参数值可以为O2/100sccm(或20sccm)、100kHz、50W及3分钟。
确定与所选择的上述等离子体处理的可变频率(步骤S3),并向等离子体处理模块30供给具有上述可变频率的电力(步骤S4)。之后,通过根据具有上述可变频率的电力生成的等离子体来改变上述二维纳米物质的特性(步骤S5)。例如,在第二空间内,基于工艺气体发生等离子体,在供给上述工艺气体的期间,在上述第二空间内维持等离子体,从上述第二空间向上述第一空间扩散的上述等离子体的离子种类被过滤,从上述等离子体传递的上述反应性气体的中性基向上述需被处理的二维纳米物质流动,从而改变上述二维纳米物质的特性。
实验例1
根据设定成100kHz、50W、O2/100sccm、3分钟的输入参数值,对二维纳米物质(例如,石墨烯)执行用于表面整理的等离子体处理。石墨烯的表面整理为在蚀刻过程中观测的现象。
图5a及图5b分别为作为本发明实施例的氧气等离子体处理前及后各自的二维纳米物质的石墨烯的显微镜照片510、540和测定厚度图像520、530、550、560。厚度测定图像520、530、550、560为利用原子力显微镜(AFM,Atomic Force Microscope)的厚度测定结果,分别为上部面520、550和立体剖面530、560的图像。
参照图5a和图5b,对作为二维纳米物质的石墨烯进行蚀刻之后,观察到其厚度从3.2nm减少值2.6nm。
实验例2
根据O2/20sccm、20W、20kHz、10分钟设定的输入参数值,执行用于对二维纳米物质(例如,石墨烯)进行涂敷的等离子体处理。
图6为比较本发明实施例的氧气等离子体处理前及氧气等离子体处理后的二维纳米物质的拉曼光谱的图表。
参照图6,通过作为二维纳米物质的石墨烯入射光来测定拉曼位移(Ramanshift)。在石墨烯的情况下,在宽广的能量范围内,会发生基于入射光的共鸣现象,因此,即使是厚度仅为一层的物质,也能够观测到强力的拉曼信号。如图6所示,在石墨烯的拉曼光谱中,呈现出1300cm-1附近的D峰值、1580cm-1附近的G峰值、2700cm-1附近的2D峰值。G峰值为在石墨累物质共同呈现的峰值,与六角形结构的碳原子与相邻的原子相反方向震动的E2g的声子模式相关,与碳原子之间的sp2结合相关。D峰值基于震动模式产生,在完美对称的格子结构中,无法通过拉曼散射观察,因此,可通过D峰值的有无判断石墨烯的缺陷程度。2D峰值为基于放出两个D频段的声音的2次散射的峰值。
氧气等离子体处理前的石墨烯的光谱分别在G频带和2D频带呈现出峰值IG、I2D,氧气等离子体处理后的石墨烯的光谱分别在D频带和G频带及2D频带中呈现出峰值ID、IG、I2D。涂敷前和涂敷后的G频带的波长从1584.1cm-1变为1586.7cm-1,换句话说,移动了2.6cm-1,2D频带的波长从2671.7cm-1变为2672.2cm-1,换句话说,移动了0.5cm-1。并且,氧气等离子体处理后,D频带的波长为1336.5cm-1。因此,可根据涂敷前I2D/IG的比例、涂敷后I2D/IG的比例、涂敷前后的D频带的波长有无、涂敷前后的G频带的波长的移动程度和/或2D频带的波长的移动程度来判断涂敷程度。
实验例3
根据100kHz、50W、O2/100sccm、3分钟设定的输入参数值,对二维纳米物质(例如,石墨烯)执行用于表面整理的等离子体处理。石墨烯的表面整理为在蚀刻过程中观测的现象。
图7a及图7b为本发明实施例的氧气等离子体处理前及氧气等离子体处理后各个的二维纳米物质的表面的图像。图7a为利用原子力显微镜观察作为氧气等离子体处理前的二维纳米物质的石墨烯的图像,图7b为利用原子力显微镜观察作为氧气等离子体处理后的二维纳米物质的石墨烯的表面的图像。
参照图7a及图7b,在氧气等离子体处理后,石墨烯的表面均匀地改变。例如,测定作为表示表面的均匀度的尺度的表面粗糙度(surface roughness)的结果,处理前的表面粗糙度为0.468nm,处理后的表面粗糙度为0.187nm,可以确认不均匀程度的降低。并且,通过选择性去除附着于石墨烯的表面的异物来清洗表面。
实验例4
根据设定成O2/20sccm、100W、100kHz、1分钟的输入参数值,对作为二维纳米物质的黑磷执行用于蚀刻的等离子体处理。图8为比较本发明实施例的等离子体处理前后的二维纳米物质的图像及拉曼光谱的图表。
800为等离子体处理之前的图像,810为用于通过实验例4的蚀刻的等离子体处理后的图像。
820为通过拉曼分析测定黑磷的厚度的图表。若测定峰值之间的距离,则可测定厚度。例如,等离子体处理前的峰值之间的距离为28.04,等离子体处理后的峰值之间的距离为28.56,因此,大致黑磷的厚度为3layer左右。
实施例的作用与效果
根据本实施例,本实施例可提供利用二维纳米物质的半导体器件的制造装置,上述半导体器件的制造装置包括:控制模块,根据二维纳米物质的多个特性中欲改变的至少一个特性,从多种等离子体处理的方式中选择对应的等离子体处理,并确定与所选择的等离子体处理相对应的可变频率;供电模块,根据上述控制模块的控制,供给具有上述可变频率的电力;以及等离子体处理模块,通过根据具有上述可变频率的电力生成的等离子体来改变上述二维纳米物质的特性,在相同的装置中,通过频率、电力、气体的变化来对二维物质进行多种表面工序,由此,简化复杂的半导体工序,并减少元件的制造费用,且还可以使工序时间的延迟最小化。
并且,本实施例可提供具有上述优点的半导体器件的制造方法。以上说明的本发明并不局限于上述实施例及附图,在不超出本发明的技术思想的范围内,本发明所属技术领域的普通技术人员可进行多种置换、变形及变更。

Claims (23)

1.一种半导体器件的制造装置,其特征在于,包括:
控制模块,根据二维纳米物质的多个特性中欲改变的至少一个特性,从多种等离子体处理的方式中选择对应的等离子体处理,并确定与所选择的等离子体处理相对应的可变频率;
供电模块,根据所述控制模块的控制,供给具有所述可变频率的电力;以及
等离子体处理模块,通过根据具有所述可变频率的电力生成的等离子体来改变所述二维纳米物质的特性。
2.根据权利要求1所述的半导体器件的制造装置,其特征在于,所述供电模块包括:
整流部,用于将第一交流信号转换为直流信号;
开关部,用于将经转换的所述直流信号生成为脉冲信号;以及
升压部,用于将所述脉冲信号升压成第二交流信号。
3.根据权利要求2所述的半导体器件的制造装置,其特征在于,
所述第二交流信号的电压大于所述第一交流信号的电压,
所述第二交流信号的频率和与所述所选择的等离子体处理相对应的所述可变频率一致。
4.根据权利要求2所述的半导体器件的制造装置,其特征在于,所述开关部包括至少一个场效应晶体管。
5.根据权利要求2所述的半导体器件的制造装置,其特征在于,
所述升压部包括用于将直流信号转换为交流信号的变压器,
所述变压器的匝比为1:5或1:8。
6.根据权利要求2所述的半导体器件的制造装置,其特征在于,
所述供电模块还包括:
电流检测部,用于测定所述脉冲信号的电流值;
电流监控部,用于监控在所述电流检测部检测的电流;
反馈部,基于所述电流监控部监控的结果来控制脉冲宽度;以及
开关控制部,根据通过所述反馈部控制的脉冲宽度产生脉冲宽度调制信号,
所述脉冲宽度调制信号为用于生成所述开关部的所述脉冲信号的开关控制信号。
7.根据权利要求1所述的半导体器件的制造装置,其特征在于,还包括:
输入模块,
其中,所述输入模块提供用户接口,用于根据所述二维纳米物质的多个特性中欲改变的至少一个特性,从所述多种等离子体处理的方式中选择一种等离子体处理。
8.根据权利要求1所述的半导体器件的制造装置,其特征在于,所述可变频率在10kHz至100kHz的范围。
9.根据权利要求1所述的半导体器件的制造装置,其特征在于,所述等离子体处理为利用等离子体的蚀刻、利用等离子体的涂敷、利用等离子体的缺陷生成、利用等离子体的表面整理中的一种。
10.根据权利要求1所述的半导体器件的制造装置,其特征在于,所述二维纳米物质包含石墨烯、硅烯、黑磷、硼墨烯、金属硫族化合物类物质、金属氧化物中的一种至多种。
11.根据权利要求1所述的半导体器件的制造装置,其特征在于,上述等离子体处理模块包括:
工艺腔室,包括中空型第一腔室壁、第二腔室壁及第三腔室壁、气体引入口及排气口,所述中空型第一腔室壁包括用于限定内部的反应空间的开放的两个端部,所述第二腔室壁及所述第三腔室壁分别与所述中空型第一腔室壁的两端部相结合,所述气体引入口及所述排气口配置于所述中空型第一腔室壁、所述第二腔室壁及所述第三腔室壁中的至少一个;
第一电极,配置于所述工艺腔室的第一腔室壁;
第二电极,配置于所述第二腔室壁及所述第三腔室壁中的至少一个;
供气部,通过所述工艺腔室的所述气体引入口向所述反应空间内部供给用于等离子体处理的工艺气体;以及
排气部,通过所述工艺腔室的所述排气口排出所述工艺气体。
12.根据权利要求11所述的半导体器件的制造装置,其特征在于,
所述第一腔室壁为绝缘体,
所述第二腔室壁及所述第三腔室壁为导电体。
13.根据权利要求12所述的半导体器件的制造装置,其特征在于,在所述第二腔室壁及所述第三腔室壁中分别配置所述第二电极并形成一体。
14.根据权利要求12所述的半导体器件的制造装置,其特征在于,
所述第一电极与交流电源相连接,
所述第二电极接地。
15.根据权利要求11所述的半导体器件的制造装置,其特征在于,所述第一腔室壁具有圆筒形或角形垂直剖面。
16.根据权利要求1所述的半导体器件的制造装置,其特征在于,上述等离子体处理模块包括:
工艺腔室,具有相互连通的第一空间及第二空间;
基板支架,形成于所述第一空间,用于支撑需被处理的基板;
等离子体发生器,以向所述第二空间内引导等离子体的方式与所述工艺腔室相结合;
离子种类筛分部件,用于筛分从所述工艺腔室的所述第二空间向所述第一空间扩散的所述等离子体的离子种类;
供气部,用于向所述第二空间供给用于处理所述基板的工艺气体;以及排气部,以从所述第二空间向所述第一空间引导气体流动的方式与所述工艺腔室相结合。
17.根据权利要求16所述的半导体器件的制造装置,其特征在于,所述等离子体发生器为远程等离子体发生装置。
18.根据权利要求11或16所述的半导体器件的制造装置,其特征在于,所述工艺气体为第一等离子体气体、第二等离子体气体、第三等离子体气体及第四等离子体气体中的一种,
所述第一等离子体气体为氯类气体、氟类气体、氧气、氢气及氢溴酸右美沙芬中的至少一种,所述氯类气体为氯气、四氯化碳或三氯化硼,所述氟类气体为四氟化碳、三氟化氮、六氟化乙烷、三氟甲烷、二氟甲烷、氟利昂气体、哈龙气体或六氟化硫,
所述第二等离子体气体为乙硼烷、磷化氢、砷化氢、锗烷、甲烷、氨、氟化氮、氧气、氢气、氮气、氟化氢及硅烷中的至少一种,
所述第三等离子体气体为氧气、氢气及氮气中的至少一种,
所述第四等离子体气体为氧气、氢气、氮气、氩气、氦气中的至少一种。
19.一种半导体器件的制造方法,其特征在于,包括:
向工艺腔室的第一空间的基板支架上提供需被处理的二维纳米物质的步骤;
对所述二维纳米物质选择用于改变多种特性的多种等离子体处理中的一种等离子体处理的步骤;
确定与所选择的所述等离子体处理相对应的可变频率的步骤;
供给具有所述可变频率的电力的步骤;以及
通过根据具有所述可变频率的电力生成的等离子体来改变所述二维纳米物质的特性的步骤。
20.根据权利要求19所述的半导体器件的制造方法,其特征在于,所述供电步骤包括:
将第一交流信号转换为直流信号的步骤;
将经转换的所述直流信号转换为脉冲信号的步骤;以及
将所述脉冲信号升压成第二交流信号的步骤。
21.根据权利要求19所述的半导体器件的制造方法,其特征在于,所述可变频率在10kHz至100kHz的范围。
22.根据权利要求19所述的半导体器件的制造方法,其特征在于,所述等离子体处理为利用等离子体的蚀刻、利用等离子体的涂敷、利用等离子体的缺陷生成、利用等离子体的表面整理中的一种。
23.根据权利要求19所述的半导体器件的制造方法,其特征在于,所述二维纳米物质包含石墨烯、硅烯、黑磷及金属硫族化合物类物质中的一种至多种。
CN201710348246.1A 2016-07-15 2017-05-17 二维纳米物质的处理装置及其方法 Active CN107622961B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020160089989A KR101924689B1 (ko) 2016-07-15 2016-07-15 이차원 나노 물질의 처리 장치 및 방법
KR10-2016-0089989 2016-07-15

Publications (2)

Publication Number Publication Date
CN107622961A true CN107622961A (zh) 2018-01-23
CN107622961B CN107622961B (zh) 2021-05-25

Family

ID=61087203

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710348246.1A Active CN107622961B (zh) 2016-07-15 2017-05-17 二维纳米物质的处理装置及其方法

Country Status (2)

Country Link
KR (1) KR101924689B1 (zh)
CN (1) CN107622961B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113327866A (zh) * 2020-02-28 2021-08-31 复旦大学 二维器件真空制备系统及其方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102438687B1 (ko) * 2020-04-06 2022-08-31 서울대학교산학협력단 2차원 물질의 원자층 단위의 표면 처리 장치, 이를 이용한 2차원 물질의 원자층 단위의 표면 처리 방법,및 이에 의해 표면처리된 2차원 물질
KR102509798B1 (ko) * 2021-04-20 2023-03-14 고려대학교 산학협력단 전이금속 칼코겐 화합물 도핑 방법

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US6431113B1 (en) * 1999-08-26 2002-08-13 Alcatel Plasma vacuum substrate treatment process and system
CN1969060A (zh) * 2004-04-30 2007-05-23 兰姆研究公司 具有快速气体切换能力的气体分配系统
CN101563757A (zh) * 2006-11-17 2009-10-21 朗姆研究公司 快速气体交换等离子处理装置
CN102358614A (zh) * 2011-10-20 2012-02-22 中国科学院物理研究所 一种石墨烯纳米图案的加工方法
CN102486993A (zh) * 2010-12-02 2012-06-06 国家纳米科学中心 一种掺杂石墨烯的制备方法及其用途
CN202558936U (zh) * 2012-05-23 2012-11-28 徐明生 一种规模化连续制备二维纳米薄膜的装置
CN102931055A (zh) * 2012-10-10 2013-02-13 中国科学院微电子研究所 一种多层石墨烯的减薄方法
CN103021808A (zh) * 2012-11-29 2013-04-03 上海集成电路研发中心有限公司 一种制备特定边缘的石墨烯图形的方法
CN103620734A (zh) * 2011-06-30 2014-03-05 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
CN104166307A (zh) * 2014-08-14 2014-11-26 深圳市贝特瑞纳米科技有限公司 一种石墨烯薄膜的图形化方法、功能器件及其用途
WO2016093269A1 (ja) * 2014-12-12 2016-06-16 株式会社ダイヘン 高周波電源

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302124A (ja) * 2008-06-10 2009-12-24 Tokyo Electron Ltd プラズマ処理装置および高周波電力供給機構
EP2312612B1 (en) * 2009-10-16 2017-03-08 Korea Institute Of Machinery & Materials Plasma reactor for abating hazardous materials and driving method thereof
KR101155987B1 (ko) * 2010-08-30 2012-06-18 (주) 이이시스 부하 매칭을 최적화하기 위한 대기압 플라즈마 생성용 전원 공급 장치 및 그 제어 방법
KR101274211B1 (ko) * 2011-08-24 2013-06-17 삼성전자주식회사 반도체 기판, 이를 이용한 발광소자 및 그 제조방법
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US6431113B1 (en) * 1999-08-26 2002-08-13 Alcatel Plasma vacuum substrate treatment process and system
CN1969060A (zh) * 2004-04-30 2007-05-23 兰姆研究公司 具有快速气体切换能力的气体分配系统
CN101563757A (zh) * 2006-11-17 2009-10-21 朗姆研究公司 快速气体交换等离子处理装置
CN102486993A (zh) * 2010-12-02 2012-06-06 国家纳米科学中心 一种掺杂石墨烯的制备方法及其用途
CN103620734A (zh) * 2011-06-30 2014-03-05 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
CN102358614A (zh) * 2011-10-20 2012-02-22 中国科学院物理研究所 一种石墨烯纳米图案的加工方法
CN202558936U (zh) * 2012-05-23 2012-11-28 徐明生 一种规模化连续制备二维纳米薄膜的装置
CN102931055A (zh) * 2012-10-10 2013-02-13 中国科学院微电子研究所 一种多层石墨烯的减薄方法
CN103021808A (zh) * 2012-11-29 2013-04-03 上海集成电路研发中心有限公司 一种制备特定边缘的石墨烯图形的方法
CN104166307A (zh) * 2014-08-14 2014-11-26 深圳市贝特瑞纳米科技有限公司 一种石墨烯薄膜的图形化方法、功能器件及其用途
WO2016093269A1 (ja) * 2014-12-12 2016-06-16 株式会社ダイヘン 高周波電源

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
LEI LIU等: ""Controlled oxidative functionalization of monolayer graphene by water-vapor plasma etching"", 《CARBON》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113327866A (zh) * 2020-02-28 2021-08-31 复旦大学 二维器件真空制备系统及其方法
CN113327866B (zh) * 2020-02-28 2022-09-20 复旦大学 二维器件真空制备系统及其方法

Also Published As

Publication number Publication date
KR101924689B1 (ko) 2019-02-28
KR20180008994A (ko) 2018-01-25
CN107622961B (zh) 2021-05-25

Similar Documents

Publication Publication Date Title
US10090160B2 (en) Dry etching apparatus and method
US10083818B2 (en) Auto frequency tuned remote plasma source
CN107622961A (zh) 利用二维纳米物质的半导体器件的制造装置及方法
CN102339717B (zh) 环形低场活性气体和具有绝缘真空容器的等离子体源
Park et al. Effective ozone generation utilizing a meshed-plate electrode in a dielectric-barrier discharge type ozone generator
JP2013041831A (ja) 金属含有フッ素ガスを減少させるための装置
EP0791668A2 (en) Apparatus and method for depositing diamond film
CN101102637A (zh) 用于等离子体处理的方法
KR102244353B1 (ko) 성막 방법
CN107432076A (zh) 微波等离子体处理装置
WO2005091687A1 (ja) マイクロプラズマジェット発生装置
KR102022156B1 (ko) 2 차원 물질을 이용한 반도체 소자의 제조 장치 및 이를 이용하는 방법
CN108431930A (zh) 具有远程等离子体源和dc电极的原子层蚀刻系统
CN113103076A (zh) 一种基于感应耦合等离子体的晶圆抛光装置
TW201442108A (zh) 在原處之金屬殘餘物清潔
US10319565B2 (en) Method and system for controlling ion flux in an RF plasma
CN117410165B (zh) 一种远程等离子源拓扑结构及其控制方法
JP2006107829A (ja) マイクロ波励起プラズマ装置及びシステム
Betsuin et al. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas
KR20190000190A (ko) Rps를 이용한 식각 방법 및 그 식각 방법을 포함한 반도체 소자 제조방법
CN100490087C (zh) 自由基产生方法、蚀刻方法以及用于这些方法的设备
KR100485816B1 (ko) 상압 플라즈마 발생장치
Schram et al. Plasma processing and chemistry
Huang et al. Controlling the number of discharge current pulses in an atmospheric dielectric barrier discharge by voltage waveform tailoring
KR20200133274A (ko) 공정 플라즈마의 이온 에너지 분포를 제어하기 위한 장치 및 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant