CN1969060A - 具有快速气体切换能力的气体分配系统 - Google Patents
具有快速气体切换能力的气体分配系统 Download PDFInfo
- Publication number
- CN1969060A CN1969060A CNA2005800137732A CN200580013773A CN1969060A CN 1969060 A CN1969060 A CN 1969060A CN A2005800137732 A CNA2005800137732 A CN A2005800137732A CN 200580013773 A CN200580013773 A CN 200580013773A CN 1969060 A CN1969060 A CN 1969060A
- Authority
- CN
- China
- Prior art keywords
- gas
- passage
- distributing system
- treatment chamber
- plasma treatment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45561—Gas plumbing upstream of the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Pipeline Systems (AREA)
Abstract
本发明提供一种用于向腔室、诸如等离子处理设备的等离子处理腔室中供应不同气体组分的气体分配系统。气体分配系统可包括气体供应部件、流动控制部件和开关部件。气体供应部件提供第一和第二气体、通常为气体混合物至流动控制部件,流动控制部件控制第一和第二气体到腔室的流动。腔室可包括多个区域,而流动控制部件可以所需的气体流动速率供应第一和第二气体至多个区域。气体分配系统可连续供应第一和第二气体至开关部件,而开关部件可操作地切换第一和第二气体流动,使得第一和第二处理气体中的一种被供应至腔室,而另一种气体被供应至旁通管线,并然后切换气体流动。开关部件优选包括快速开关阀门,其可操作地快速地打开和关闭从而允许第一和第二气体的快速切换,优选在任一种气体流动时不出现不期望的压力波动或流动不稳定性。
Description
背景技术
在等离子处理设备中处理半导体结构,其中等离子处理设备包括等离子处理腔室、供应处理气体到腔室中的气体源、以及由处理气体产生等离子体的能量源。半导体结构在这样的设备中通过下列技术被处理,这些技术包括干法刻蚀处理、沉积处理、诸如化学气相沉积(CVD)、物理气相沉积,或金属、介电和半导体材料的等离子增强化学气相沉积(PECVD)、和光刻胶剥离处理。不同处理气体用于这些处理技术,以及处理半导体结构的不同材料。
发明内容
本发明提供了一种可操作地向真空腔室、诸如等离子处理腔室供应所选择的气体的气体分配系统。气体可以是刻蚀气体组分和/或沉积气体组分。优选实施例的气体分配系统可提供快速气体切换能力,这允许系统在短时间内在供应到真空腔室的不同气体之间切换,优选不出现不期望的任何气体的压力波动(pressure surge)或流动不稳定性。某些优选实施例的气体分配系统可向真空腔室内部不同区域提供所选择的气流,包括不同气体化学成分和/或流动速率。
一个优选实施例的气体分配系统包括用于与第一气体管线(gasline)流体连通的第一气体通道和第二气体通道,和用于与第二气体管线流体连通的第三气体通道和第四气体通道。第一和第三气体通道用于供应气体至真空腔室内部,而第二和第四气体通道用于供应气体至旁通管线(by-pass line)。第一、第二、第三和第四快速开关阀门分别沿第一、第二、第三和第四气体通道设置。第一和第四快速开关阀门适于接收信号以在第二和第三快速开关阀门关闭时打开,从而经第一气体管线和第一及第三气体通道向真空腔室供应第一气体,同时经第二气体管线和第二及第四气体通道向旁通管线供应第二气体。第二和第三快速开关阀门适于接收信号以在第一和第四快速开关阀门关闭时打开,从而经第二气体管线和第三气体通道向真空腔室供应第二气体,同时经第一气体管线和第二气体通道向旁通管线供应第一气体。
本发明提供了用于供应气体至等离子处理腔室的气体分配系统的另一优选实施例,其中等离子处理腔室包括具有彼此流动隔离的内部和外部区域的气体分配元件,其包括适于与第一处理气体源、内部区域和旁通管线流体连通的第一气体通道;适于与第一处理气体源、外部区域和旁通管线流体连通的第二气体通道;适于与第二处理气体源、内部区域和旁通管线流体连通的第三气体通道;和适于与第二处理气体源、外部区域和旁通管线流体连通的第四气体通道。气体分配系统包括快速开关阀门,其适于接收信号以打开和关闭,以便(i)经第一和第二气体通道向内部和外部区域供应第一处理气体,而第二处理气体经第三和第四气体通道被供应到旁通管线,和(ii)改变第一和第二处理气体的流动,从而经第三和第四气体通道供应第二处理气体至内部区域和外部区域,而第一处理气体经第一和第二气体通道供应到旁通管线。
本发明提供了用于供应气体至等离子处理腔室的气体分配系统的另一优选实施例,其中等离子处理腔室包括具有彼此流动隔离的内部区域和外部区域的气体分配元件,其包括具有多个第一气体通道的气体开关部件,其中每个第一气体通道适于(i)与至少一个第一气体通道和/或至少一个第二气体通道流体连通,和(ii)与气体分配元件的内部区域、气体分配元件的外部区域和旁通管线中至少一个流体连通。气体开关部件也包括快速开关阀门装置,其适于接收信号以(iii)打开第一组快速开关阀门并关闭第二组快速开关阀门,以便供应第一处理气体至内部和外部区域,而第二处理气体经第一组第一气体通道被转向到旁通管线,和(iv)关闭第一组快速开关阀门并打开第二组快速开关阀门,从而切换第一和第二处理气体流,以供应第二处理气体至内部区域和外部区域,而第一处理气体经第二组第一气体通道转向到旁通管线。
本发明提供了在等离子处理腔室中处理半导体结构的方法的一个优选实施例,其包括a)供应第一处理气体至等离子处理腔室,同时将第二处理气体转向到旁通管线,其中等离子处理腔室包含包括至少一个层的半导体衬底,并且图案化光刻胶掩膜覆盖该层;b)激励第一处理气体从而产生第一等离子体,并且(i)刻蚀该层中至少一个特征或(ii)在掩膜上形成聚合物沉积;(c)切换第一和第二处理气体流,从而第二处理气体被供应到等离子处理腔室,同时将第一处理气体转向至旁通管线,第一处理气体在小于约1秒钟、或小于约200毫秒的时间里在等离子处理腔室的等离子体限制区域中被第二处理气体基本取代;d)激励第二处理气体从而产生第二等离子体,并且(iii)在该层中刻蚀至少一个特征,或(iv)在该层和掩膜上形成沉积;e)切换第一和第二处理气体流,使得第一处理气体被供应到等离子处理腔室,而将第二处理气体转向至旁通管线,其中第二处理气体在小于约1秒钟,或小于约200毫秒的时间里在等离子处理腔室的等离子体限制区域中被第一处理气体基本取代;和f)对衬底重复步骤a)-e)多次。
附图说明
图1是等离子处理设备的一个示例性实施例的剖视图,其可与气体分配系统的优选实施例一起使用。
图2示出气体分配系统的一个优选实施例。
图3描绘气体分配系统的气体供应部件的一个优选实施例。
图4描绘气体分配系统的流动控制部件的一个优选实施例。
图5描绘气体分配系统的气体开关部件的第一优选实施例。
图6描绘气体分配系统的气体开关部件的第二优选实施例。
图7描绘气体分配系统的气体开关部件的第三优选实施例。
具体实施方式
用于处理半导体材料、诸如在半导体衬底、例如硅晶片上所形成的半导体器件的等离子处理设备,包括等离子处理腔室和向等离子处理腔室供应处理气体的气体分配系统。气体分配系统可在等离子体处理过程中在衬底表面上分配气体至单个区域或多个区域。气体分配系统可包括流动控制器,以控制到这些区域的相同或不同处理气体、或气体混合物的流动速率(flow rate),从而允许在处理中调节气体流动和气体组分在衬底上的均匀性。
虽然多区域气体分配系统相比于单区域系统可提供改进的流动控制,但可能需要为这样的系统提供允许其中气体组分和/或气体流动可在短时间内改变的衬底处理操作的结构。
提供了一种用于供应不同气体组分和/或流动比率(flow ratio)到腔室的气体分配系统。在一个优选实施例中,气体分配系统适于与真空腔室、诸如等离子处理设备的等离子处理腔室的内部流体连通,并提供在处理操作过程中供应不同气体化学成分和/或气体流动速率至真空腔室的能力。等离子处理设备可以是低密度、中等密度或高密度等离子体反应器,其包括使用RF能量、微波能量、磁场等产生等离子体的能量源。例如,高密度等离子体可以在变压器耦合等离子体(TCPTM)反应器中产生,也被称为感应耦合等离子体反应器、电子回旋共振共振(ECR)等离子体反应器、电容性放电等。优选实施例的气体分配系统可与其一起使用的示例性等离子体反应器包括ExelanTM等离子体反应器,诸如2300ExelanTM等离子体反应器,其可从位于加利福尼亚州Fremont的Lam Research Corporation得到。在等离子刻蚀处理过程中,多个频率可被应用到包括电极和静电吸盘的衬底支撑件上。可替换地,在双频率等离子体反应器中,不同频率可被应用到衬底支撑件和与衬底隔开的电极上,诸如喷头电极。
气体分配系统的一个优选实施例可经单个区域或多个区域、优选至少靠近待处理衬底的暴露表面的气体分配元件的内部区域和外部区域,供应第一气体到真空腔室的内部,诸如等离子处理腔室。内部和外部区域在等离子处理腔室中彼此径向隔开,并优选流动隔离。气体分配系统可同时将与第一气体不同的第二气体转向至真空腔室旁通管线。旁通管线可与真空泵等流体连通。在一个优选实施例中,第一气体是第一处理气体,而第二气体是另一处理气体。例如,第一气体可以是第一刻蚀气体化学成分或沉积气体化学成分,而第二气体可以是另一刻蚀气体化学成分或沉积气体化学成分。气体分配系统可同时分别提供第一气体的不同受控流动速率至内部区域和外部区域,而第二气体被转向到旁通管线,反之亦然。通过将一种气体转向至旁通管线,供应到真空腔室的气体的切换可在短时间内实现。
气体分配系统包括开关装置,其允许在短时间内在供应到真空腔室内部的第一和第二气体之间实现气体转换或气体切换,其中真空腔室包括单个区域或包括多个区域。对于多区域系统,气体分配系统可以供应第一气体到内部和外部区域,同时第二气体被转向到旁通管线,然后在短时间内切换气体分配,使得第二气体被供应到内部区域和外部区域,同时第一气体被转向到旁通管线。气体分配系统可替换地可以供应第一和第二气体到真空腔室的内部,其中每种气体被供应一个期望的时间段,从而允许在使用不同气体化学物质的不同处理操作之间快速切换,例如处理半导体器件的方法的交替步骤。在一个优选实施例中,该方法步骤可以是不同的刻蚀步骤,例如更快的刻蚀步骤,诸如主刻蚀(main etch),和相对慢的刻蚀步骤,诸如过刻蚀(overetch)步骤;刻蚀步骤和材料沉积步骤;或不同材料沉积步骤,其在衬底上沉积不同材料。
在气体分配系统的一个优选实施例中,真空腔室内限定区域、优选为等离子体限制区域中气体成分容积可由在短时间内引入到真空腔室中的另一气体成分取代(即冲走)。这样的气体置换优选地可以通过在气体分配系统中提供具有快速切换能力的阀门,而在小于约1秒、更优选在小于约200毫秒的时间里实现。对于处理200毫米或300毫米晶片的等离子处理腔室,等离子体限制区域可具有约1/2升到约4升的气体容积。等离子体限制区域可以通过限制环堆叠来限定,诸如在共同所有的美国专利No.5534751中所公开的,该专利被完整包括在此以供参考。
图1描绘示例性半导体材料等离子处理设备10,其中气体分配系统100的实施例可与其一起使用。设备10包括具有内部空间的真空腔室或等离子处理腔室12,该内部空间包含衬底支撑件14,在等离子处理过程中衬底16就支撑于衬底支撑件14上。衬底支撑件14包括夹持装置,优选为静电吸盘18,其在处理过程中可操作地将衬底16夹紧在衬底支撑件14上。衬底可被焦环(focus rings)和/或缘环(edgerings)、底座延伸件(ground extensions)或其它部件包围,诸如共同所有的美国专利申请No.US 2003/0029567中所公开的那些部件,该申请全部内容包括在此以供参考。
在一个优选实施例,等离子处理腔室12包括等离子体限制区域,其具有约1/2升到约4升、优选约1升到约3升的容积。例如,等离子处理腔室12可包括限制环结构、诸如在共同所有的美国专利No.5534751中所公开的那样,以便限制等离子体限制区域,该专利全部内容包括在此以供参考。气体分配系统可在小于约1秒、优选小于约200毫秒的时间段中以另一气体取代等离子体限制区域中的这种容积的气体,而没有显著反扩散。限制机构可限制从等离子体容积到等离子体容积外部的等离子处理腔室12内部的部分的流体流通。
衬底16可包括基体材料,诸如硅晶片;基体材料上待处理、例如刻蚀的材料的中间层;中间层上的掩膜层。中间层可以是导电的、介电的或半导体的材料。掩膜层可以是图案化光刻胶材料,其具有开口图案,用于在中间层和/或一个或多个其它层中刻蚀期望的特征,例如孔、通孔和/或沟槽。根据在基体材料上所形成的半导体器件的类型,衬底可包括在基体层和掩膜层之间的导电的、介电的或半导体的材料的附加层。
可被处理的示例性介电材料是例如搀杂的氧化硅,诸如含氟氧化硅(fluorinated silicon oxide);未搀杂的氧化硅,诸如二氧化硅;旋涂玻璃(spin-on glass);硅酸盐玻璃;搀杂的或未搀杂的热二氧化硅;搀杂或未搀杂的TEOS沉积的氧化硅。这样的介电材料可位于导电的或半导体的层之上,诸如多晶硅;金属,诸如铝、铜、钛、钨、钼和它们的合金;氮化物,诸如氮化钛;以及金属硅化物,诸如硅化钛、硅化钨和硅化钼。
图1中所示的示例性等离子处理设备10包括喷头电极组件,其具有形成等离子体腔室壁的支撑板20,和连接到支撑板的喷头22。挡板组件位于喷头22和支撑板20之间,以均匀地将处理气体分配到喷头的背部28。挡板组件可包括一个或多个挡板。在该实施例中,挡板组件包括挡板30A、30B和30C。敞开的充气室(plenum)48A、48B和48C被限定在挡板30A、30B和30C之间;以及挡板30C和喷头22之间。挡板30A、30B和30C以及喷头22包括将处理气体流通进入等离子处理腔室12内部的通道。
在该实施例中,板20和挡板30A之间的充气室及挡板30A、30B和30C之间的充气室48A、48B和48C被密封件38a、38b、38c和38d,诸如O形圈划分为内部区域42和外部区域46。内部区域42和外部区域46可通过气体分配系统100供应以具有不同气体化学成分和/或流动速率的处理气体,优选在控制器500的控制下。气体被从内部区域气体供应源40供应到内部区域42,并且气体被从外部区域气体供应源44供应到环行通道44a然后进入外部区域46。处理气体流过挡板30A、30B和30C和喷头22中的通道并进入等离子处理腔室12的内部。处理气体在等离子处理腔室12中通过功率源、诸如RF源驱动电极22、或驱动衬底支撑件14中电极的功率源激励为等离子状态。在不同气体成分被供应到等离子处理腔室12时,施加到电极22的RF功率可改变,优选在小于约1秒、更优选小于200毫米的时间内。
在另一优选实施例中,等离子处理设备10可包括将处理气体注入等离子处理腔室中的气体注入系统。例如,气体注入系统可具有在共同所有的美国专利申请No.09/788365、美国专利申请No.10/024208、美国专利No.6013155、或美国专利No.6270862中所公开的配置,这些专利(申请)的全部内容被包括在此以供参考。
图2示出一个优选实施例,其中气体分配系统100包括彼此流体连通的气体供应部件200、流动控制部件300、和气体开关部件400。气体分配系统100优选还包括控制器500(图1),其被以控制通信方式连接,以控制气体供应部件200、流动控制部件300和气体开关部件400的操作。
在气体分配系统100中,气体供应部件200可经相应的第一和第二气体管线235、245向流动控制部件300供应不同气体,诸如第一和第二处理气体。第一和第二气体可具有彼此不同的组分和/或气体流动速率。
流动控制部件300可操作地控制可供应到开关部件400的不同气体的流动速率,并可选地调整组分。流动控制部件300可分别经气体通道324、326和364、366提供第一和第二气体的不同流动速率和/或化学成分至开关部件400。此外,对于内部区域42和外部区域46,供应到等离子处理腔室12的第一气体和/或第二气体的流动速率和/或化学成分(同时另一种气体被转向到旁通管线50,该旁通管线可以与真空泵送系统、诸如涡轮泵和低真空泵之间流体连通)。因此,流动控制部件300可在衬底16上提供期望的气体流和/或气体化学成分,从而增强衬底处理的均匀性。
在气体分配系统100中,开关部件400在短时间内可操作地从第一气体切换到第二气体,从而允许在单个区域或多个区域、例如内部区域42和外部区域46中第一气体被第二气体置换,同时将第一气体转向至旁通管线,或反之。气体开关部件400优选地可在第一气体和第二气体之间切换,而不出现这两种气体的流动中的不期望的压力波动和流动不稳定性。如果需要,气体分配系统100可维持流过等离子处理腔室12的第一和第二气体的基本恒定的连续容积流动速率。
图3示出气体分配系统100的气体供应部件200的一个优选实施例。气体供应部件200优选地连接到控制器500,以控制流动控制部件的操作,诸如阀门和流动控制器,从而允许可由气体供应部件200供应的两种或多种气体组分的控制。在该实施例中,气体供应部件200包括多个气体源202、204、206、208、210、212、214和216,每个气体源都与第一气体管线235和第二气体管线245流体连通。这样,气体供应部件200可供应多种所需的不同气体混合物至等离子处理腔室12。包括在气体分配系统100中的气体源的数量不局限于任何特定数量的气体源,但优选包括至少两个不同气体源。例如,气体供应部件200可包括多于或少于图3所示实施例中所包含的8种气体源。例如,气体供应部件200可包括两个、三个、四个、五个、十个、十二个、十六个或更多气体源。可通过相应气体源提供的不同气体包括单种气体,诸如O2、Ar、H2、Cl2、N2等,以及气态碳氟化合物和/或氟代烃(fluorohydrocarbon)化合物,诸如CF4、CH3F等。在一个优选实施例中,等离子处理腔室是刻蚀腔室,且气体源202-216可供应Ar、O2、N2、Cl2、CH3、CF4、C4F8和CH3F或CHF3(以任意合适的顺序)。各气体源202-216供应的特定气体可基于在等离子处理腔室12中要执行的期望处理来选择,例如特定的干法刻蚀和/或材料沉积处理。气体供应部件200对于可被提供用于执行刻蚀处理和/或材料沉积处理的气体的选择可提供广泛的多功能性。
气体供应部件200优选也包括至少一个调节气体(tuning gas)源,以调节气体组分。调节气体可以是,例如O2、惰性气体,诸如氩,或反应气体(reactive gas),诸如碳氟化合物或氟代烃气体,例如C4F8。在图3所示的实施例中,气体供应部件200包括第一调节气体源218和第二调节气体源219。如下面所述,第一调节气体源218和第二调节气体源219可供应调节气体,以调节供应到气体开关部件400的第一和/或第二气体的组分。
在图3所示的气体供应部件200的实施例中,流动控制装置240优选地被设置在分别与气体源202、204、206、208、210、212、214和216流体连通的气体通道222、224、226、228、230、232、234和236的每个中,并且也被设置在分别与第一调节气体源218和第二调节气体源219流体连通的气体通道242、244中。流动控制装置240可操作地控制由相关气体源202-216和218、219所供应的气体的流动。流动控制装置240优选是质量流动控制器(MFC:mass flowcontroller)。
在图3所示的实施例中,阀门250、252沿气体通道位于每个气体源202-216下游。阀门250、252可以选择性地打开或关闭,优选在控制器500的控制下,从而允许不同气体混合物流到第一气体管线235和/或第二气体管线245。例如,通过打开与一个或多个气体源202-216关联的阀门252(同时其余与其他气体源202-216关联的阀门252关闭),第一气体混合物可供应到第一气体管线235。相似地,通过打开与一个或多个其它气体源202-216关联的阀门250(同时其余与其它气体源202-216关联的阀门250关闭),第二气体混合物可供应到第二气体管线245。因此,第一和第二气体的不同混合物和质量流动比率(mass flow rate)可通过气体供应部件200的受控操作而被提供给第一气体管线235和第二气体管线245。
在一个优选实施例中,气体供应部件200可操作地分别经第一气体管线235和第二气体管线345提供第一和第二气体的连续流动。第一气体或第二气体流入等离子处理腔室12,而其它气体被转向到旁通管线。旁通管线可连接到真空泵等。通过连续流动第一和第二气体,气体分配系统100可实现气体流动的快速切换。
图4示出气体分配系统100的流动控制部件300的一个优选实施例。流动控制部件300包括与来自气体供应部件200的第一气体管线235流体连通的第一流动控制部件305、和与来自气体供应部件200的第二气体管线245流体连通的第二流动控制部件315。流动控制部件300可操作地控制分别供应到内部区域42和外部区域46的第一气体的比率,同时第二气体被转向到旁通管线,并控制分别供应到内部区域42和外部区域46的第二气体的比率,同时第一气体被转向到旁通管线。第一流动控制部件305将在第一气体管线235引入的第一气体流分成第一气体的两个独立出口流,而第二流动控制部件315将在第二气体管线245引入的第二气体流分成第二气体的两个独立出口流。第一流动控制部件305包括经开关系统400分别与内部区域42和外部区域46流体连通的第一和第二气体通道324、326,而第二流动控制部件315包括经开关系统400分别与内部区域42和外部区域46流体连通的第一和第二气体通道364、366。
在一个优选实施例中,第一流动控制部件305和第二流动控制部件315每个都包括至少两个限流器(flow restrictor)。每个限流器优选具有固定的限制尺寸,以便气体流过它。限流器优选是孔口。限流器限制气流并在孔口上游和附近的气体通道区域中保持近似恒定的气体压力。第一流动控制部件305和第二流动控制部件315中每个都优选包括孔口网络,例如两个、三个、四个、五个或更多孔口,每个孔口优选具有不同的横截面限制尺寸,例如不同的直径或不同的横截面面积。孔口的限制尺寸小于气体分配系统100的气流路径的其它部分的横截面面积。孔口优选是音速孔(sonic orifice)。在流动控制部件300中气流优选以临界流态(critical flow regime)操作,使得给定孔口的流导(flow conductance)由其限制尺寸和上游压力唯一确定。随着孔口的流导增加,实现穿过孔口的给定流动速率的孔口上压力降降低。
在图4所示的实施例中,第一和第二流动控制部件305、315每个都包括五个孔口330、332、334、336和338。例如,孔口330、332、334、336和338可分别具有1、2、4、8和16的相对的限制尺寸,例如直径。因此,当气流穿过所有5个孔口330-338时,四个孔口330-336具有与单个孔口338近似相同的总流导。可替换地,四个孔口330-336中至多3个可以打开以提供孔口330-336的总流导与孔口338的流导的不同比率,以便供应不同比率的第一气流和第二气流至内部区域42和外部区域46。
另一实施例可包括不同数量的孔口,例如总共两个孔口;包括孔口338和取代多个孔口330-338的第二孔口。第二孔口优选具有与孔口338相同的限制尺寸。在这样的实施例中,供应到内部区域42和外部区域46的第一气体和/或第二气体的流动比率约为1∶1。
阀门320优选位于各个孔口330-338的上游,以控制第一气体和第二气体到孔口的流动。例如,在第一流动控制部件305和/或第二流动控制部件315中,一个或多个阀门320可被打开以允许第一气体和/或第二气体流动到一个或多个相关孔口330-336,同时其它阀门320被打开以允许第一气体和/或第二气体流到孔口338。
在第一流动控制部件305中,孔口330-336与气体通道322流体连通。气体通道322被分成第一和第二气体通道324、326,其与气体开关部件流体连通。一对阀门320位于第一和第二通道324、326中,以控制流过第一流动控制部件305的一个或多个孔口330-336的第一气体到内部区域42和/或外部区域46的流动。在一个可替换实施例中,一对沿气体通道324、326设置的阀门320可由单个,四向阀门取代。
在第一流动控制部件305中,孔口338沿气体通道319设置。气体通道319被分成气体通道331、333,其分别与第一和第二气体通道324、326流体连通。一对阀门320位于气体通道331、333中,以控制经孔口338流进第一和第二气体通道324、326的第一气体的流动。在一个可替换实施例中,这对沿气体通道331、333设置的阀门320可用单个的四向阀门取代。
在第二流动控制部件315中,一对阀门320沿第一和第二气体通道364、366设置,从而控制经孔口330-336中一个或多个流进等离子处理腔室的内部区域42和外部区域46的第二气体的流动。在一个可替换实施例中,这对沿气体通道364、366设置的阀门320可用单个的四向阀门取代。
在第二流动控制部件315中,孔口338沿气体通道359设置。气体通道359被分成气体通道372、374,其分别与第一和第二气体通道364、366流体连通。一对阀门320位于气体通道372、374中,从而控制经孔口338流进第一和/或第二气体通道364、366的第二气体的流动。在一个可替换实施例中,这对沿气体通道372、374设置的阀门320可用单个的四向阀门取代。
孔口330-338被包括在流动控制部件300中,从而当气体分配系统100将流进等离子处理腔室12中的气体从第一气体改为第二气体时防止气流中压力波动和流动的不稳定性,反之也一样。
在图4所示的实施例中,第一调节气体源218的气体通道242(图3)被设置,以供应第一调节气体至第一流动控制部件305的第一气体通道324和/或第二气体通道326,从而调节第一气体组分。第二调节气体源219的气体通道244(图3)被设置,以供应第二调节气体至第二流动控制部件315的第一气体通道364和/或第二气体通道366,从而调节第二气体组分。第一和第二调节气体可以是相同的也可以是不同的调节气体。
流动控制装置340、优选为MFC被沿气体通道242设置。阀门320沿气体通道337、339设置,以分别控制第一调节气体到气体通道326、324的流动。在一个可替换实施例中,这对沿气体通道337、339设置的阀门320可以用单个的四向阀门取代。
流动控制装置340、优选为MFC被沿气体通道244设置。阀门320沿气体通道376、378设置,以分别控制第二调节气体到气体通道366、364的流动。在一个可替换实施例中,这对沿气体通道376、378设置的阀门320可以用单个四向阀门取代。
在图4所示的流动控制部件300的实施例中,第一流动控制部件305和第二流动控制部件315包括以相同配置设置的相同元件。然而,在气体分配系统100的其他优选实施例中,第一和第二流动控制部件305、315彼此具有不同元件和/或不同配置。例如,第一和第二流动控制部件305、315可彼此包括不同元件和/或不同配置。例如,第一和第二流动控制部件305、315可彼此包括不同数量的孔口和/或具有不同限制尺寸的孔口。
在气体分配系统100中,气体开关系统400与流动控制部件300、并与真空腔室内部和旁通管线流体连通,其中第一和第二气体流进旁通管线。气体开关系统400的第一优选实施例在图5中示出。气体开关系统400可交替供应第一和第二气体至等离子处理腔室12的内部区域42和外部区域46。气体开关系统400与第一流动控制部件305的第一气体通道324和第二气体通道326流体连通,并与第二流动控制部件315的第一气体通道364和第二气体通道366流体连通。沿每个气体通道324、326、364和366设置孔口430,以在第一和第二气体切换期间防止不期望的压力波动。
第一流动控制部件305的第一气体通道324被分成气体通道448、450;第一流动控制部件305的第二气体通道326被分成气体通道442、444;第二流动控制部件315的第一气体通道364被分成气体通道452、454;第二流动控制部件315的第二气体通道366被分成气体通道456、458。在该实施例中,气体通道442与等离子体腔室12的外部区域46流体连通,气体通道448与等离子体腔室12的内部区域42流体连通,气体通道444提供旁通管线。气体通道456与气体通道442流体连通而到达外部区域46。气体通道452与气体通道448流体连通而到达内部区域42。气体通道450、454和458与气体通道444流体连通而连到旁通管线。
阀门440沿气体通道442、444、448、450、452、454、456和458中每一个而设置。在一个可替换实施例中,沿气体通道442、444;448、450;452、454;和456、458设置的每一对阀门440可用单个四向阀门取代。阀门440可选择性地打开或关闭,优选在控制器500的控制下,从而供应第一和第二气体至腔室,同时将其它气体转向至旁通管线。
例如,为了供应第一气体至等离子处理腔室12的内部区域42和外部区域46并将第二气体转向至旁通管线,沿气体通道442、448和454、458的阀门440打开,同时沿气体通道444、450和452、456的阀门440关闭。为了切换气流以便第二气体被供应到等离子处理腔室12的内部区域42和外部区域46,同时第一气体被转向到旁通管线,沿气体通道444、450和452、456的阀门440打开,同时沿气体通道442、448和454、458的阀门440关闭。换句话说,第一组阀门440打开而第二组阀门440关闭,从而供应第一气体至等离子处理腔室12,并且然后该第一组阀门关闭而该第二组阀门440打开,从而改变气流以供应第二气体至等离子处理腔室。
在气体开关系统400中,阀门440是快速开关阀门。如这里所用,术语“快速开关阀门”指可以在接收来自控制器500的打开或关闭信号后在短时间、优选小于约100毫秒、更优选小于约50毫秒内打开或关闭的阀门。阀门440优选是通过接收来自控制器500的打开或关闭信号而电子控制和致动的。可用在气体开关系统400中的合适的“快速开关阀门”是型号为FSR-SD-71-6.35的阀门,可从位于美国加利福尼亚的Santa Clara的Fujikin得到。
因此,气体开关系统400可供应第一气体例如至真空腔室的内部,同时将第二气体转向至旁通管线,然后优选在控制器500的控制下,快速切换这些气流并供应第二气体至真空腔室,同时将第一气体转向至旁通管线。第一气体或第二气体在气体被切换之前被供应至真空腔室的时间量可通过控制器500控制。相关孔口430和阀门440之间的气体通道324、326、364和366的容积优选小于约10cm3。如上所述,气体分配系统可与包括等离子体限制区域的等离子处理腔室一起使用,从而在小于约1秒、更优选小于约200毫秒的时间段内置换约1/2升到约4升的气体容积,从而稳定系统。
按照第二优选实施例的气体开关系统1400在图6中绘出。在气体开关系统1400中,阀门440和位于阀门440下游的孔口430沿气体通道442-458中每个而设置。否则,气体开关系统1400可具有与气体开关系统400相同的配置。在气体切换过程中,孔口430防止不期望的压力波动。在一个可替换实施例中,沿气体通道442、444;448、450;452、454;和456、458的每对阀门440可用单个的四向阀门取代。
按照第三优选实施例的气体开关系统2400在图7中描绘。在该实施例中,气体开关系统2400与第一气体通道405和第二气体通道415流体连通。第一和第二气体通道405、415可以例如分别是流动控制部件的第一气体出口和第二气体出口,这里的流动控制部件与图4所示的流动控制部件300不同,不包括内部和外部区域气体出口。孔口430沿第一气体通道405和第二气体通道415中每个而设置。第一气体通道405被分成气体通道422、424,而第二气体通道445被分成气体通道426、428。气体通道422和426与真空腔室的内部流体连通,且气体通道424和428与旁通管线流体连通。阀门440沿气体通道422、424和426、428中每个而设置。在一个可替换实施例中,沿气体通道422、424;和426、428的每对阀门440可以用单个的四向阀门取代。
例如,为了供应第一气体至真空腔室并同时将第二气体引导到旁通管线,沿流体通道422和428的阀门440被打开,且沿气体通道424和426的阀门被440关闭。为了切换气流以便第二气体被供应到真空腔室且第一气体被转向到旁通管线,沿流体通道424和426的阀门440打开,且沿流体通道422和428的阀门440关闭。
在气体开关系统的另一优选实施例中,可通过除去设置在阀门440上游的第一气体通道405和第二气体通道415中的孔口430、并在每个气体通道422、424、426和428中在相关阀门440下游设置孔口而修改图7中所示的实施例。
气体分配系统100的优选实施例可用于向等离子处理腔室12供应不同气体化学成分和/或流动速率,以执行不同的刻蚀和/或沉积处理。例如,气体分配系统100可供应处理气体至等离子处理腔室,以在被覆盖的掩膜、诸如UV光刻胶掩膜保护的氧化硅、诸如SiO2层中刻蚀特征。SiO2层可在具有200mm或300mm直径的半导体晶片、诸如硅晶片上形成。特征可以是例如通孔(via)和/或沟槽。在这样的刻蚀处理中,期望在掩膜的某些部分上沉积聚合物以修复掩膜中的条纹、例如裂缝或缝隙(即,填充条纹),使得在SiO2中所刻蚀的特征具有它们的期望形状,例如通孔具有圆横截面。如果条纹没有被修复,则它们最终到达掩膜下的层,并实际上在刻蚀过程中被转移到该层。而且,聚合物可以沉积在特征的侧壁上。
然而,已经确定,在所刻蚀特征的侧壁和基底上所沉积的聚合物的厚度影响刻蚀速率。在各向异性刻蚀处理中,特征的底部上所沉积的聚合物在刻蚀过程中基本被除去。然而,如果侧壁和/或基底上的聚合物变得太厚,则SiO2的刻蚀速率减小,且可能完全停止。如果变得太厚,则聚合物也可能剥落表面。因此,用于在掩膜和特征上形成聚合物沉积的气体混合物被供应到等离子处理腔室的时间量优选被控制,从而控制在SiO2层上形成的聚合物沉沉积的厚度,同时也提供掩膜的足够的修复和保护。在SiO2层的刻蚀过程中,周期性地从掩膜上除去聚合物。因此,聚合物优选在SiO2层的刻蚀周期之间在掩膜上被沉积,从而确保实现掩膜的充分修复和保护。
通过控制在特征上所沉积的聚合物的厚度,并修复和保护掩膜,气体分配系统100可用于供应处理气体至等离子处理腔室中,以刻蚀由覆盖的掩膜、例如UV光刻胶掩膜所保护的SiO2层。气体分配系统100的气体开关系统可操作地允许用于刻蚀SiO2的第一处理气体在第一时间段中被供应到等离子处理腔室,而用于形成聚合物沉积的第二气体混合物被转向到旁通管线,并且然后快速切换气流,使得第二气体混合物被供应到等离子处理腔室,以形成聚合物沉积,而第一气体混合物被供应到旁通管线。优选地,供应到等离子处理腔室的等离子体限制区域的第一气体混合物在小于约1秒、更优选小于约200毫秒的时间段内至少基本上被第二气体混合物置换。等离子体限制区域优选具有约1/2升到约4升的容积。
用于刻蚀SiO2的第一气体混合物可包含例如碳氟化合物,诸如C4F8、O2和氩。C4F8/O2/氩的流动比率可以是例如20/10/500sccm。用于形成聚合物沉积的第二气体混合物可包含例如氟代烃种(fluorohydrocarbon species),诸如CH3F和氩。C3FH/氩的流动比率可以是例如15/500sccm。第二气体混合物可选也可包括O2。对于用于处理200mm或300mm晶片的电容耦合等离子体刻蚀反应器,腔室压力可以是例如70-90mTorr。每次第一气体混合物被引入等离子处理腔室时,优选流入约5秒到约20秒(同时第二气体被转向到旁通管线),而每次第二气体混合物被引入等离子处理腔室时,优选流入约1秒到约3秒(同时第一气体被转向到旁通管线)。在刻蚀衬底上的SiO2时,刻蚀周期和/或聚合物沉积周期的长度可在优选时间段内增加或减小。聚合物沉积在刻蚀处理过程中优选达到小于约100埃的最大厚度。在刻蚀过程中,聚合物可沉积在掩膜上,以修复条纹并提供掩膜保护。因此,掩膜中开口的形状优选可在刻蚀处理过程中保持。
本发明已经参考优选实施例被描述。然而,对本领域技术人员来说,显然可以不同于上述的特定形式实施本发明,而不偏离本发明的精神。优选实施例是说明性的并且不能认为是任何形式上的限制。本发明的范畴由权利要求限定,而非前述说明,且所有落入权利要求范围内的变化和等价物都包括在此。
Claims (30)
1.一种用于供应气体至真空腔室的气体分配系统,包括:
第一气体通道和第二气体通道,用于与第一气体管线流体连通;
第三气体通道和第四气体通道,用于与第二气体管线流体连通,其中所述第一和第三气体通道适于供应气体至真空腔室,而所述第二和第四气体通道适于供应气体至旁通管线;
沿所述第一气体通道设置的第一快速开关阀门;
沿所述第二气体通道设置的第二快速开关阀门;
沿所述第三气体通道设置的第三快速开关阀门;
沿所述第四气体通道设置的第四快速开关阀门;
所述第一和第四快速开关阀门适于接收信号以在所述第二和第三快速开关阀门关闭期间打开,以便第一气体经第一气体管线和第一及第三气体通道供应到真空腔室,而第二气体经第二气体管线和第二及第四气体通道供应到所述旁通管线;并且
所述第二和第三快速开关阀门适于接收信号以在所述第一和第四快速开关阀门关闭期间打开,以便第二气体经第二气体管线和第三气体通道供应到真空腔室,而第一气体经第一气体管线和第二气体通道供应到所述旁通管线。
2.如权利要求1所述的气体分配系统,进一步包括:
第一限流器,沿第一气体管线设置在所述第一和第二快速开关阀门上游;以及
第二限流器,沿第二气体管线设置在所述第三和第四快速开关阀门上游;
其中所述第一和第二限流器用于在所述第一和第二限流器上游和附近的第一和第二气体管线的区域中维持近似恒定的气压。
3.如权利要求2所述的气体分配系统,其中所述第一气体管线在第一限流器和第一及第二快速开关阀门之间具有小于约10cm3的容积,所述第二气体管线在第二限流器和第三及第四快速开关阀门之间具有小于约10cm3的容积。
4.如权利要求1所述的气体分配系统,进一步包括:
第三限流器,沿第一气体通道设置在所述第一快速开关阀门下游;
第四限流器,沿第二气体通道设置在所述第二快速开关阀门下游;
第五限流器,沿第三气体通道设置在所述第三快速开关阀门下游;
第六限流器,沿第四气体通道设置在所述第四快速开关阀门下游;
其中所述第三、第四、第五和第六限流器用于在所述第一、第二、第三、第四、第五和第六限流器上游及附近的第一、第二、第三和第四气体通道的区域中维持恒定的气压。
5.如权利要求1所述的气体分配系统,进一步包括控制器,其可操作地控制所述第一、第二、第三和第四快速开关阀门的打开和关闭。
6.如权利要求1所述的气体分配系统,其中所述第一、第二、第三和第四快速开关阀门可在接收信号后在小于约100毫秒、或小于约50毫秒的时间段内打开和/或关闭。
7.一种等离子处理设备,包括:
等离子处理腔室,包括喷头电极组件并具有约1/2升到约4升容积的等离子体限制区域;和
如权利要求1所述的气体分配系统,其与所述等离子处理腔室流体连通;
其中所述气体分配系统可在小于约1秒、或小于约200毫秒的时间段内基本将等离子区中所述第一气体或第二气体用所述第一气体或第二气体中另一种气体置换。
8.一种用于供应气体至等离子处理腔室的气体分配系统,其中所述等离子处理腔室包括具有彼此流动隔离的内部和外部区域的气体分配元件,所述气体分配系统包括:
适用于与第一处理气体源、所述等离子处理腔室的气体分配元件的内部区域、和旁通管线流体连通的第一气体通道;
适用于与所述第一处理气体源、所述等离子处理腔室的气体分配元件的外部区域、和所述旁通管线流体连通的第二气体通道;
适用于与第二处理气体源、所述内部区域、和所述旁通管线流体连通的第三气体通道;
适用于与所述第二处理气体源、所述外部区域、和所述旁通管线流体连通的第四气体通道;
多个快速开关阀门,适用于接收信号以打开或关闭,从而(i)所述第一处理气体经所述第一和第二气体通道供应至内部和外部区域,同时第二处理气体经所述第三和第四气体通道供应至所述旁通管线,和(ii)改变第一和第二处理气体的流动,使得第二处理气体经所述第三和第四气体通道供应至所述内部和外部区域,同时第一处理气体经第一和第二气体通道供应至所述旁通管线。
9.如权利要求8所述的气体分配系统,进一步包括至少一个沿所述第一、第二、第三和第四处理气体通道中每一个设置的限流器,所述限流器用于在所述限流器上游和附近的第一、第二、第三和第四气体通道的区域中维持近似恒定的气压。
10.如权利要求8所述的气体分配系统,其中所述快速开关阀门可在接收信号后在小于约100毫秒、或小于50毫秒的时间段内打开和/或关闭。
11.一种等离子处理设备,包括:
等离子处理腔室,包括具有内部区域和外部区域的喷头电极组件,且内部容积约为1/2升到4升;和
如权利要求8的气体分配系统,其与所述喷头电极组件的内部和外部区域流体连通;
其中所述气体分配系统可操作地在小于约1秒、或小于约200毫秒的时间内基本将等离子限制区中的所述第一处理气体或第二处理气体用所述第一处理气体或第二处理气体中另一种处理气体置换。
12.一种用于供应处理气体至等离子处理腔室的气体分配系统,其中所述等离子处理腔室包括具有彼此流动隔离的内部和外部区域的气体分配元件,所述气体分配系统包括气体开关部件,包括:
多个第一气体通道,其中每个所述第一气体通道(i)用于与至少一个第一气体通道和/或至少一个第二气体通道流体连通,和(ii)用于与等离子处理腔室的气体分配元件的内部区域、等离子处理腔室的气体分配元件的外部区域和旁通管线中至少一个流体连通;以及
快速开关阀门装置,用于接收信号,以(iii)打开第一组快速开关阀门并关闭第二组快速开关阀门,从而经第一组第一气体通道供应第一处理气体至内部和外部区域,同时第二处理气体被转向到所述旁通管线,和(iv)关闭所述第一组快速开关阀门并打开所述第二组快速开关阀门,从而改变第一和第二处理气体流动,以便经第二组第一气体通道供应所述第二处理气体至所述内部区域和外部区域,同时所述第一处理气体被转向到所述旁通管线。
13.如权利要求12所述的气体分配系统,进一步包括控制器,其可操作地控制所述第一和第二组快速开关阀门的打开和关闭。
14.如权利要求12所述的气体分配系统,进一步包括流动控制部件,包括:
至少一个第二气体通道,适用于与第一气体管线流体连通,其中所述第一气体管线与至少一个第一处理气体源流体连通;
至少一个第一阀门,可操作地允许所述第一处理气体被从所述第一气体管线供应至至少一个第二气体通道;
至少一个第三气体通道,适用于与第二气体管线流体连通,其中所述第二气体管线与至少一个第二处理气体源流体连通;
至少一个第二阀门,可操作地允许所述第二处理气体被从所述第二气体管线供应至至少一个第三气体通道。
15.如权利要求14所述的气体分配系统,进一步包括气体供应部件,包括:
所述第一气体管线,适用于与多个所述第一处理气体源流体连通;
所述第二气体管线,适用于与多个所述第二处理气体源流体连通;
至少一个第三阀门,可操作地允许供应所述第一处理气体至所述第一和第二气体管线中至少一个;以及
至少一个第四阀门,可操作地允许供应所述第二处理气体至所述第一和第二气体管线中至少一个。
16.如权利要求14所述的气体分配系统,其中所述流动控制部件包括分别与第二和第三气体通道流体连通的至少一个第一限流器和至少一个第二限流器,所述第一和第二限流器用于在所述第一和第二限制器的上游和附近区域中保持近似恒定的气压。
17.如权利要求16所述的气体分配系统,其中所述至少一个第一限流器包括至少两个第一限制器,所述至少一个第二限流器包括一个第二限制器,且至少一个第一限制器具有与所述第二限流器不同的流导。
18.如权利要求17所述的气体分配系统,其中所述第一限流器的总流导近似等于所述第二限流器的流导。
19.如权利要求14所述的气体分配系统,其中所述第二和第三气体通道用于与至少一个调节气体源流体连通,其中所述调节气体源可操作地供应调节气体至所述第二和第三气体通道中至少一个。
20.如权利要求12所述的气体分配系统,其中所述气体开关部件包括沿每个所述第一气体通道设置在相应快速开关阀门上游的限流器,所述限流器用于在所述限流器上游和附近的相关第一气体通道的区域中保持近似恒定的气压。
21.如权利要求12所述的气体分配系统,其中所述快速开关阀门可以在接收信号后在小于约100毫秒、或小于约50毫秒的时间段内打开和/或关闭。
22.一种等离子处理设备,包括:
等离子处理腔室,包括喷头电极组件,并具有约1/2升到约4升的内部容积;和
如权利要求12所述的气体分配系统,其与所述等离子处理腔室流体连通;
其中所述气体分配系统可操作地在小于约1秒、或小于约200毫秒的时间内基本将等离子限制区中的所述第一处理气体或第二处理气体用所述第一处理气体和第二处理气体中另一种气体置换。
23.一种在等离子处理腔室中处理半导体结构的方法,包括:
a)供应第一处理气体至所述等离子处理腔室,同时将第二处理气体转向至旁通管线,其中所述等离子处理腔室包含半导体衬底,所述半导体衬底包括至少一层和覆盖所述层的图案化光刻胶掩膜;
b)激励所述第一处理气体以产生第一等离子体和(i)在所述层中刻蚀至少一个特征或(ii)在所述掩膜上形成聚合物沉积;
c)切换所述第一和第二处理气体流动,使得所述第二处理气体被供应到等离子处理腔室,同时将所述第一处理气体转向至所述旁通管线,在等离子处理腔室的等离子体限制区域中,所述第一处理气体在小于约1秒、或小于约200毫秒的时间段内基本被所述第二处理气体置换;
d)激励所述第二处理气体,以产生第二等离子体和(iii)在所述层中刻蚀至少一个特征或(iv)在所述层和掩膜上形成聚合物沉积;
e)切换所述第一和第二处理气体流动,使得所述第一处理气体被供应到等离子处理腔室,同时将所述第二处理气体转向至所述旁通管线,在等离子处理腔室的等离子体限制区域中,所述第二处理气体在小于约1秒、或小于约200毫秒的时间段内基本被第一处理气体置换;以及
f)对所述衬底多次重复步骤a)-e)。
24.如权利要求23所述的方法,其中在对所述衬底多次重复步骤a)-e)后,所述聚合物沉积被形成为小于约100埃的最大厚度。
25.如权利要求23所述的方法,其中所述第一等离子体在所述层中刻蚀所述至少一个特征,而所述第二等离子体在所述层和掩膜上形成沉积,所述沉积修复掩膜中条纹。
26.如权利要求23所述的方法,其中所述等离子体限制区域具有约1/2升到约4升的容积。
27.如权利要求23所述的方法,其中:
所述第一层是SiO2;
所述掩膜是UV光刻胶掩膜;
所述第一处理气体包括C4F8、O2和氩的混合物,且所述第一等离子体刻蚀所述层;和
所述第二处理气体包括CH3F、氩的混合物,并可选包括O2,所述第二等离子体在所述特征和掩膜上形成聚合物沉积。
28.如权利要求27所述的方法,其中每次第一和第二处理气体分别被供应至等离子处理腔室中时,所述第一处理气体在约5秒到约20秒的时间中被提供到等离子处理腔室中,而第二处理气体在约1秒到约3秒的时间中被提供到等离子处理腔室中。
29.如权利要求23所述的方法,其中所述等离子处理腔室包括喷头电极组件,其包括内部区域和外部区域,所述第一处理气体被供应至内部区域和外部区域,同时第二处理气体被转向到旁通管线,且第二处理气体被供应至内部区域和外部区域,同时所述第一处理气体被转向至旁通管线。
30.如权利要求29所述的方法,其中所述第一处理气体和/或第二处理气体以不同的流动速率被供应至所述内部区域和外部区域。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/835,175 US7708859B2 (en) | 2004-04-30 | 2004-04-30 | Gas distribution system having fast gas switching capabilities |
US10/835,175 | 2004-04-30 | ||
PCT/US2005/013582 WO2005112093A2 (en) | 2004-04-30 | 2005-04-22 | Gas distribution system having fast gas switching capabilities |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1969060A true CN1969060A (zh) | 2007-05-23 |
CN1969060B CN1969060B (zh) | 2014-01-01 |
Family
ID=35185880
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN200580013773.2A Active CN1969060B (zh) | 2004-04-30 | 2005-04-22 | 具有快速气体切换能力的气体分配系统 |
Country Status (8)
Country | Link |
---|---|
US (2) | US7708859B2 (zh) |
EP (1) | EP1741128B1 (zh) |
JP (2) | JP5709344B2 (zh) |
KR (1) | KR101155839B1 (zh) |
CN (1) | CN1969060B (zh) |
IL (1) | IL178463A (zh) |
TW (1) | TWI389192B (zh) |
WO (1) | WO2005112093A2 (zh) |
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102246268A (zh) * | 2008-12-15 | 2011-11-16 | 东京毅力科创株式会社 | 基板处理系统、基板处理方法以及存储了程序的存储介质 |
CN102741975A (zh) * | 2010-04-30 | 2012-10-17 | 应用材料公司 | 双腔室处理系统 |
CN102832096A (zh) * | 2012-09-20 | 2012-12-19 | 中微半导体设备(上海)有限公司 | 一种用于真空处理装置的气体供应装置及其气体供应及切换方法 |
CN102998362A (zh) * | 2011-09-09 | 2013-03-27 | 安捷伦科技有限公司 | 质谱系统的现场调节 |
CN102027577B (zh) * | 2008-05-15 | 2013-05-08 | 朗姆研究公司 | 选择性的感应双图案化 |
CN107622961A (zh) * | 2016-07-15 | 2018-01-23 | 延世大学校产学协力团 | 利用二维纳米物质的半导体器件的制造装置及方法 |
CN107785221A (zh) * | 2016-08-25 | 2018-03-09 | Asm知识产权私人控股有限公司 | 排气设备和使用其的衬底处理设备 |
CN109755157A (zh) * | 2017-11-08 | 2019-05-14 | 东京毅力科创株式会社 | 气体供给系统及气体供给方法 |
CN110137069A (zh) * | 2013-12-30 | 2019-08-16 | 中微半导体设备(上海)股份有限公司 | 一种控制反应气体进入真空反应腔的方法 |
CN111492469A (zh) * | 2017-12-19 | 2020-08-04 | 应用材料公司 | 多区气体分配系统及方法 |
CN112951696A (zh) * | 2019-12-10 | 2021-06-11 | 中微半导体设备(上海)股份有限公司 | 等离子体处理设备及其气体挡板结构、等离子体处理方法 |
WO2022021935A1 (zh) * | 2020-07-29 | 2022-02-03 | 苏州迈正科技有限公司 | 一种快速切换镀膜工艺气体的方法及设备 |
WO2023109307A1 (zh) * | 2021-12-14 | 2023-06-22 | 拓荆科技股份有限公司 | 一种气体切换系统及其相关半导体制程方法 |
WO2024022327A1 (zh) * | 2022-07-29 | 2024-02-01 | 北京北方华创微电子装备有限公司 | 特种气体安全控制方法、装置、电路和半导体工艺设备 |
Families Citing this family (581)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070066038A1 (en) | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
JP4550507B2 (ja) * | 2004-07-26 | 2010-09-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20070021935A1 (en) | 2005-07-12 | 2007-01-25 | Larson Dean J | Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber |
US20070045239A1 (en) * | 2005-08-31 | 2007-03-01 | Micron Technology, Inc. | Apparatus and method for processing a microfeature workpiece using a plasma |
US8088248B2 (en) * | 2006-01-11 | 2012-01-03 | Lam Research Corporation | Gas switching section including valves having different flow coefficients for gas distribution system |
JP2007211326A (ja) * | 2006-02-13 | 2007-08-23 | Nec Electronics Corp | 成膜装置および成膜方法 |
JP4782585B2 (ja) * | 2006-02-28 | 2011-09-28 | 株式会社日立ハイテクノロジーズ | プラズマエッチング装置及び方法 |
US20070224708A1 (en) * | 2006-03-21 | 2007-09-27 | Sowmya Krishnan | Mass pulse sensor and process-gas system and method |
US7932181B2 (en) * | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
KR101217522B1 (ko) * | 2006-09-07 | 2013-01-02 | 주식회사 원익아이피에스 | 유량조절블럭을 구비한 샤워헤드 |
JP4928893B2 (ja) * | 2006-10-03 | 2012-05-09 | 株式会社日立ハイテクノロジーズ | プラズマエッチング方法。 |
US20080152823A1 (en) * | 2006-12-20 | 2008-06-26 | Lam Research Corporation | Self-limiting plating method |
US8043430B2 (en) | 2006-12-20 | 2011-10-25 | Lam Research Corporation | Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber |
US7794530B2 (en) * | 2006-12-22 | 2010-09-14 | Lam Research Corporation | Electroless deposition of cobalt alloys |
US7521358B2 (en) * | 2006-12-26 | 2009-04-21 | Lam Research Corporation | Process integration scheme to lower overall dielectric constant in BEoL interconnect structures |
US7775236B2 (en) * | 2007-02-26 | 2010-08-17 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US7846497B2 (en) * | 2007-02-26 | 2010-12-07 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US8074677B2 (en) * | 2007-02-26 | 2011-12-13 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US8216418B2 (en) * | 2007-06-13 | 2012-07-10 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings |
US8202393B2 (en) * | 2007-08-29 | 2012-06-19 | Lam Research Corporation | Alternate gas delivery and evacuation system for plasma processing apparatuses |
KR20090022557A (ko) * | 2007-08-31 | 2009-03-04 | 삼성전자주식회사 | 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법 |
US8187414B2 (en) | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
CN101457351B (zh) * | 2007-12-12 | 2012-03-07 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 气体分配系统和应用该气体分配系统的半导体处理设备 |
US8187413B2 (en) * | 2008-03-18 | 2012-05-29 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket |
US20090236447A1 (en) * | 2008-03-21 | 2009-09-24 | Applied Materials, Inc. | Method and apparatus for controlling gas injection in process chamber |
US8721836B2 (en) * | 2008-04-22 | 2014-05-13 | Micron Technology, Inc. | Plasma processing with preionized and predissociated tuning gases and associated systems and methods |
JP5378706B2 (ja) * | 2008-05-22 | 2013-12-25 | 東京エレクトロン株式会社 | プラズマ処理装置及びそれに用いられる処理ガス供給装置 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
DE102009011622B4 (de) * | 2009-03-04 | 2018-10-25 | Siltronic Ag | Epitaxierte Siliciumscheibe und Verfahren zur Herstellung einer epitaxierten Siliciumscheibe |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110229638A1 (en) * | 2010-03-19 | 2011-09-22 | Gt Solar Incorporated | System and method for polycrystalline silicon deposition |
US8707754B2 (en) | 2010-04-30 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for calibrating flow controllers in substrate processing systems |
US20110265883A1 (en) * | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8133349B1 (en) * | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8931512B2 (en) * | 2011-03-07 | 2015-01-13 | Applied Materials, Inc. | Gas delivery system and method of use thereof |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
KR101932250B1 (ko) | 2011-06-30 | 2019-03-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치 |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8728239B2 (en) * | 2011-07-29 | 2014-05-20 | Asm America, Inc. | Methods and apparatus for a gas panel with constant gas flow |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9679751B2 (en) | 2012-03-15 | 2017-06-13 | Lam Research Corporation | Chamber filler kit for plasma etch chamber useful for fast gas switching |
JP5937385B2 (ja) * | 2012-03-16 | 2016-06-22 | 東京エレクトロン株式会社 | 半導体製造装置のガス供給方法、ガス供給システム及び半導体製造装置 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9437400B2 (en) | 2012-05-02 | 2016-09-06 | Lam Research Corporation | Insulated dielectric window assembly of an inductively coupled plasma processing apparatus |
DE102012210332A1 (de) * | 2012-06-19 | 2013-12-19 | Osram Opto Semiconductors Gmbh | Ald-beschichtungsanlage |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US8911826B2 (en) * | 2012-08-02 | 2014-12-16 | Asm Ip Holding B.V. | Method of parallel shift operation of multiple reactors |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9146007B2 (en) * | 2012-11-27 | 2015-09-29 | Lam Research Ag | Apparatus for liquid treatment of work pieces and flow control system for use in same |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
KR20140087215A (ko) * | 2012-12-28 | 2014-07-09 | 주식회사 윈텔 | 플라즈마 장치 및 기판 처리 장치 |
US9090972B2 (en) * | 2012-12-31 | 2015-07-28 | Lam Research Corporation | Gas supply systems for substrate processing chambers and methods therefor |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9488315B2 (en) * | 2013-03-15 | 2016-11-08 | Applied Materials, Inc. | Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber |
JP6107327B2 (ja) * | 2013-03-29 | 2017-04-05 | 東京エレクトロン株式会社 | 成膜装置及びガス供給装置並びに成膜方法 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9275869B2 (en) * | 2013-08-02 | 2016-03-01 | Lam Research Corporation | Fast-gas switching for etching |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
WO2015026491A1 (en) | 2013-08-19 | 2015-02-26 | Applied Materials, Inc. | Apparatus for impurity layered epitaxy |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
JP6267491B2 (ja) * | 2013-11-08 | 2018-01-24 | 株式会社堀場エステック | 流体切換装置 |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US10391526B2 (en) | 2013-12-12 | 2019-08-27 | Lam Research Corporation | Electrostatic chuck cleaning fixture |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
KR101560623B1 (ko) * | 2014-01-03 | 2015-10-15 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
JP6219179B2 (ja) * | 2014-01-20 | 2017-10-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
JP6158111B2 (ja) * | 2014-02-12 | 2017-07-05 | 東京エレクトロン株式会社 | ガス供給方法及び半導体製造装置 |
JP6396699B2 (ja) | 2014-02-24 | 2018-09-26 | 東京エレクトロン株式会社 | エッチング方法 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
KR102451499B1 (ko) * | 2014-05-16 | 2022-10-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 샤워헤드 설계 |
CN103994328B (zh) * | 2014-05-20 | 2016-08-24 | 山西太钢不锈钢股份有限公司 | 煤气吹扫安全置换装置 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US10249511B2 (en) * | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
KR20160012302A (ko) * | 2014-07-23 | 2016-02-03 | 삼성전자주식회사 | 기판 제조 방법 및 그에 사용되는 기판 제조 장치 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
JP6499835B2 (ja) * | 2014-07-24 | 2019-04-10 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
JP6346849B2 (ja) * | 2014-08-20 | 2018-06-20 | 東京エレクトロン株式会社 | ガス供給系、プラズマ処理装置、及びプラズマ処理装置の運用方法 |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
JP6315809B2 (ja) * | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
JP6305314B2 (ja) * | 2014-10-29 | 2018-04-04 | 東京エレクトロン株式会社 | 成膜装置およびシャワーヘッド |
CN105590825A (zh) * | 2014-11-03 | 2016-05-18 | 中微半导体设备(上海)有限公司 | 气体输送装置及等离子体处理装置 |
JP6334369B2 (ja) | 2014-11-11 | 2018-05-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10100407B2 (en) * | 2014-12-19 | 2018-10-16 | Lam Research Corporation | Hardware and process for film uniformity improvement |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
CN105810579B (zh) | 2015-01-16 | 2019-12-06 | 东京毅力科创株式会社 | 蚀刻方法 |
JP6504827B2 (ja) | 2015-01-16 | 2019-04-24 | 東京エレクトロン株式会社 | エッチング方法 |
CN105810581B (zh) | 2015-01-16 | 2019-12-10 | 东京毅力科创株式会社 | 蚀刻方法 |
TW201634738A (zh) * | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | 用於在空間上分離之原子層沉積腔室的經改良注射器 |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
JP2016157793A (ja) | 2015-02-24 | 2016-09-01 | 東京エレクトロン株式会社 | エッチング方法 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6550278B2 (ja) | 2015-06-24 | 2019-07-24 | 東京エレクトロン株式会社 | エッチング方法 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10957561B2 (en) * | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9620376B2 (en) * | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10256075B2 (en) | 2016-01-22 | 2019-04-09 | Applied Materials, Inc. | Gas splitting by time average injection into different zones by fast gas valves |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
JP6392266B2 (ja) * | 2016-03-22 | 2018-09-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6378234B2 (ja) * | 2016-03-22 | 2018-08-22 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
JP6592400B2 (ja) | 2016-05-19 | 2019-10-16 | 東京エレクトロン株式会社 | エッチング方法 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6606464B2 (ja) | 2016-05-20 | 2019-11-13 | 東京エレクトロン株式会社 | エッチング方法 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
JP6689674B2 (ja) * | 2016-05-30 | 2020-04-28 | 東京エレクトロン株式会社 | エッチング方法 |
US10684159B2 (en) * | 2016-06-27 | 2020-06-16 | Applied Materials, Inc. | Methods, systems, and apparatus for mass flow verification based on choked flow |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US9852924B1 (en) * | 2016-08-24 | 2017-12-26 | Lam Research Corporation | Line edge roughness improvement with sidewall sputtering |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
WO2019113478A1 (en) | 2017-12-08 | 2019-06-13 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
US11077401B2 (en) | 2018-05-16 | 2021-08-03 | Highvac Corporation | Separated gas stream point of use abatement device |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
JP7296699B2 (ja) * | 2018-07-02 | 2023-06-23 | 東京エレクトロン株式会社 | ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
KR102641752B1 (ko) * | 2018-11-21 | 2024-03-04 | 삼성전자주식회사 | 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법 |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210111356A (ko) * | 2019-01-31 | 2021-09-10 | 램 리써치 코포레이션 | 급속 교번 프로세스들에서 균일도를 개선하기 위한 복수-위치 가스 주입 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
CN113924386A (zh) * | 2019-05-15 | 2022-01-11 | 应用材料公司 | 用于处理系统的动态多区流动控制 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
JP7068230B2 (ja) | 2019-05-22 | 2022-05-16 | 東京エレクトロン株式会社 | 基板処理方法 |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
CN112424911B (zh) | 2019-06-20 | 2023-09-22 | 株式会社日立高新技术 | 等离子体处理装置以及等离子体处理方法 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
DE102019130303A1 (de) * | 2019-11-11 | 2021-05-12 | Khs Corpoplast Gmbh | Vorrichtung und Verfahren zur Plasmabehandlung von Behältern |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
JP2021082127A (ja) * | 2019-11-21 | 2021-05-27 | 東京エレクトロン株式会社 | ガス供給システム、プラズマ処理装置及びガス供給システムの制御方法 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
KR20210095798A (ko) * | 2020-01-23 | 2021-08-03 | 에이에스엠 아이피 홀딩 비.브이. | 반응 챔버 압력을 안정화하기 위한 시스템 및 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN115341194B (zh) * | 2022-07-05 | 2024-02-23 | 华灿光电(苏州)有限公司 | 提高微型发光二极管发光一致性的生长方法 |
Family Cites Families (54)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5769950A (en) * | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
JPS62143427A (ja) * | 1985-12-18 | 1987-06-26 | Hitachi Ltd | 処理ガス供給装置 |
US4980204A (en) * | 1987-11-27 | 1990-12-25 | Fujitsu Limited | Metal organic chemical vapor deposition method with controlled gas flow rate |
US5252178A (en) * | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
US5534751A (en) * | 1995-07-10 | 1996-07-09 | Lam Research Corporation | Plasma etching apparatus utilizing plasma confinement |
JPH0945624A (ja) * | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
TW279240B (en) * | 1995-08-30 | 1996-06-21 | Applied Materials Inc | Parallel-plate icp source/rf bias electrode head |
AU3145197A (en) * | 1996-06-28 | 1998-01-21 | Lam Research Corporation | Apparatus and method for high density plasma chemical vapor deposition |
US6013155A (en) * | 1996-06-28 | 2000-01-11 | Lam Research Corporation | Gas injection system for plasma processing |
US5846883A (en) * | 1996-07-10 | 1998-12-08 | Cvc, Inc. | Method for multi-zone high-density inductively-coupled plasma generation |
US6090210A (en) * | 1996-07-24 | 2000-07-18 | Applied Materials, Inc. | Multi-zone gas flow control in a process chamber |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
GB9709659D0 (en) * | 1997-05-13 | 1997-07-02 | Surface Tech Sys Ltd | Method and apparatus for etching a workpiece |
US6337102B1 (en) * | 1997-11-17 | 2002-01-08 | The Trustees Of Princeton University | Low pressure vapor phase deposition of organic thin films |
US5972430A (en) * | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
GB9904925D0 (en) * | 1999-03-04 | 1999-04-28 | Surface Tech Sys Ltd | Gas delivery system |
US6211092B1 (en) * | 1998-07-09 | 2001-04-03 | Applied Materials, Inc. | Counterbore dielectric plasma etch process particularly useful for dual damascene |
US6257980B1 (en) * | 1998-12-24 | 2001-07-10 | B.I.S., L.L.C. | Method and apparatus for identifying a winner in a bingo game |
US6230651B1 (en) * | 1998-12-30 | 2001-05-15 | Lam Research Corporation | Gas injection system for plasma processing |
US6210482B1 (en) * | 1999-04-22 | 2001-04-03 | Fujikin Incorporated | Apparatus for feeding gases for use in semiconductor manufacturing |
JP2000306884A (ja) * | 1999-04-22 | 2000-11-02 | Mitsubishi Electric Corp | プラズマ処理装置およびプラズマ処理方法 |
US20010047756A1 (en) * | 1999-05-17 | 2001-12-06 | Bartholomew Lawrence Duane | Gas distribution system |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6421127B1 (en) * | 1999-07-19 | 2002-07-16 | American Air Liquide, Inc. | Method and system for preventing deposition on an optical component in a spectroscopic sensor |
KR100327346B1 (ko) * | 1999-07-20 | 2002-03-06 | 윤종용 | 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법 |
FR2797997B1 (fr) * | 1999-08-26 | 2002-04-05 | Cit Alcatel | Procede et dispositif pour le traitement de substrat sous vide par plasma |
JP4487338B2 (ja) * | 1999-08-31 | 2010-06-23 | 東京エレクトロン株式会社 | 成膜処理装置及び成膜処理方法 |
JP2001257198A (ja) | 2000-03-13 | 2001-09-21 | Matsushita Electric Ind Co Ltd | プラズマ処理方法 |
JP3736322B2 (ja) * | 2000-04-26 | 2006-01-18 | 昭和電工株式会社 | 気相成長装置 |
JP2001316816A (ja) * | 2000-05-10 | 2001-11-16 | Tokyo Electron Ltd | 基板冷却装置 |
KR100332313B1 (ko) * | 2000-06-24 | 2002-04-12 | 서성기 | Ald 박막증착장치 및 증착방법 |
US6569774B1 (en) * | 2000-08-31 | 2003-05-27 | Micron Technology, Inc. | Method to eliminate striations and surface roughness caused by dry etch |
US6333272B1 (en) * | 2000-10-06 | 2001-12-25 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
JP2002129337A (ja) * | 2000-10-24 | 2002-05-09 | Applied Materials Inc | 気相堆積方法及び装置 |
KR100397889B1 (ko) * | 2001-01-18 | 2003-09-19 | 삼성전자주식회사 | 개스공급장치의 잔류개스 제거장치 |
US6620733B2 (en) * | 2001-02-12 | 2003-09-16 | Lam Research Corporation | Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics |
US20020144655A1 (en) * | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US6418954B1 (en) * | 2001-04-17 | 2002-07-16 | Mks Instruments, Inc. | System and method for dividing flow |
US6984288B2 (en) * | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
FR2834382B1 (fr) * | 2002-01-03 | 2005-03-18 | Cit Alcatel | Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US7547635B2 (en) * | 2002-06-14 | 2009-06-16 | Lam Research Corporation | Process for etching dielectric films with improved resist and/or etch profile characteristics |
FR2842387B1 (fr) | 2002-07-11 | 2005-07-08 | Cit Alcatel | Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre |
US6924235B2 (en) * | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
US20040050326A1 (en) * | 2002-09-12 | 2004-03-18 | Thilderkvist Karin Anna Lena | Apparatus and method for automatically controlling gas flow in a substrate processing system |
US6649469B1 (en) * | 2002-10-11 | 2003-11-18 | Micron Technology, Inc. | Methods of forming capacitors |
DE10247913A1 (de) * | 2002-10-14 | 2004-04-22 | Robert Bosch Gmbh | Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat |
US7169231B2 (en) * | 2002-12-13 | 2007-01-30 | Lam Research Corporation | Gas distribution system with tuning gas |
US7296532B2 (en) * | 2002-12-18 | 2007-11-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bypass gas feed system and method to improve reactant gas flow and film deposition |
US6916746B1 (en) * | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
US7144521B2 (en) | 2003-08-22 | 2006-12-05 | Lam Research Corporation | High aspect ratio etch using modulation of RF powers of various frequencies |
US7354631B2 (en) * | 2003-11-06 | 2008-04-08 | Micron Technology, Inc. | Chemical vapor deposition apparatus and methods |
US20050211668A1 (en) * | 2004-03-26 | 2005-09-29 | Lam Research Corporation | Methods of processing a substrate with minimal scalloping |
JP4515950B2 (ja) | 2005-03-31 | 2010-08-04 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体 |
-
2004
- 2004-04-30 US US10/835,175 patent/US7708859B2/en active Active
-
2005
- 2005-04-22 JP JP2007510806A patent/JP5709344B2/ja not_active Expired - Fee Related
- 2005-04-22 KR KR1020067024058A patent/KR101155839B1/ko active IP Right Grant
- 2005-04-22 WO PCT/US2005/013582 patent/WO2005112093A2/en active Application Filing
- 2005-04-22 CN CN200580013773.2A patent/CN1969060B/zh active Active
- 2005-04-22 EP EP05738420.8A patent/EP1741128B1/en active Active
- 2005-04-29 TW TW094113964A patent/TWI389192B/zh active
-
2006
- 2006-10-05 IL IL178463A patent/IL178463A/en not_active IP Right Cessation
-
2010
- 2010-03-03 US US12/716,918 patent/US8673785B2/en active Active
-
2013
- 2013-09-26 JP JP2013200475A patent/JP5922076B2/ja active Active
Cited By (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102027577B (zh) * | 2008-05-15 | 2013-05-08 | 朗姆研究公司 | 选择性的感应双图案化 |
CN102246268A (zh) * | 2008-12-15 | 2011-11-16 | 东京毅力科创株式会社 | 基板处理系统、基板处理方法以及存储了程序的存储介质 |
CN102741975A (zh) * | 2010-04-30 | 2012-10-17 | 应用材料公司 | 双腔室处理系统 |
CN102741975B (zh) * | 2010-04-30 | 2015-12-02 | 应用材料公司 | 双腔室处理系统 |
CN102998362A (zh) * | 2011-09-09 | 2013-03-27 | 安捷伦科技有限公司 | 质谱系统的现场调节 |
CN102832096A (zh) * | 2012-09-20 | 2012-12-19 | 中微半导体设备(上海)有限公司 | 一种用于真空处理装置的气体供应装置及其气体供应及切换方法 |
CN102832096B (zh) * | 2012-09-20 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 一种用于真空处理装置的气体供应装置及其气体供应及切换方法 |
CN110137069A (zh) * | 2013-12-30 | 2019-08-16 | 中微半导体设备(上海)股份有限公司 | 一种控制反应气体进入真空反应腔的方法 |
CN110137069B (zh) * | 2013-12-30 | 2021-07-09 | 中微半导体设备(上海)股份有限公司 | 一种控制反应气体进入真空反应腔的方法 |
CN107622961A (zh) * | 2016-07-15 | 2018-01-23 | 延世大学校产学协力团 | 利用二维纳米物质的半导体器件的制造装置及方法 |
CN107785221A (zh) * | 2016-08-25 | 2018-03-09 | Asm知识产权私人控股有限公司 | 排气设备和使用其的衬底处理设备 |
US11698648B2 (en) | 2017-11-08 | 2023-07-11 | Tokyo Electron Limited | Gas supply system and gas supply method |
CN109755157A (zh) * | 2017-11-08 | 2019-05-14 | 东京毅力科创株式会社 | 气体供给系统及气体供给方法 |
CN109755157B (zh) * | 2017-11-08 | 2023-08-29 | 东京毅力科创株式会社 | 气体供给系统及气体供给方法 |
CN111492469A (zh) * | 2017-12-19 | 2020-08-04 | 应用材料公司 | 多区气体分配系统及方法 |
CN111492469B (zh) * | 2017-12-19 | 2023-11-10 | 应用材料公司 | 多区气体分配系统及方法 |
CN112951696A (zh) * | 2019-12-10 | 2021-06-11 | 中微半导体设备(上海)股份有限公司 | 等离子体处理设备及其气体挡板结构、等离子体处理方法 |
CN112951696B (zh) * | 2019-12-10 | 2024-04-09 | 中微半导体设备(上海)股份有限公司 | 等离子体处理设备及其气体挡板结构、等离子体处理方法 |
WO2022021935A1 (zh) * | 2020-07-29 | 2022-02-03 | 苏州迈正科技有限公司 | 一种快速切换镀膜工艺气体的方法及设备 |
WO2023109307A1 (zh) * | 2021-12-14 | 2023-06-22 | 拓荆科技股份有限公司 | 一种气体切换系统及其相关半导体制程方法 |
WO2024022327A1 (zh) * | 2022-07-29 | 2024-02-01 | 北京北方华创微电子装备有限公司 | 特种气体安全控制方法、装置、电路和半导体工艺设备 |
Also Published As
Publication number | Publication date |
---|---|
CN1969060B (zh) | 2014-01-01 |
KR101155839B1 (ko) | 2012-06-21 |
JP5922076B2 (ja) | 2016-05-24 |
US20100159707A1 (en) | 2010-06-24 |
KR20070009709A (ko) | 2007-01-18 |
IL178463A0 (en) | 2007-02-11 |
IL178463A (en) | 2014-02-27 |
US20050241763A1 (en) | 2005-11-03 |
US7708859B2 (en) | 2010-05-04 |
EP1741128B1 (en) | 2019-09-11 |
EP1741128A2 (en) | 2007-01-10 |
EP1741128A4 (en) | 2010-03-17 |
WO2005112093A2 (en) | 2005-11-24 |
JP5709344B2 (ja) | 2015-04-30 |
JP2014042041A (ja) | 2014-03-06 |
WO2005112093A3 (en) | 2007-01-11 |
JP2007535819A (ja) | 2007-12-06 |
TWI389192B (zh) | 2013-03-11 |
US8673785B2 (en) | 2014-03-18 |
TW200607016A (en) | 2006-02-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1969060B (zh) | 具有快速气体切换能力的气体分配系统 | |
CN101563757B (zh) | 快速气体交换等离子处理装置 | |
CN101496144B (zh) | 用于气体分配系统的包括具有不同流量系数的阀门的气体切换部分 | |
KR102122113B1 (ko) | 튜닝가능한 가스 흐름 제어를 위한 가스 스플리터를 포함하는 가스 공급 전달 장치 | |
CN100372971C (zh) | 分量供给机构及空间分配开关 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |