KR20210095798A - 반응 챔버 압력을 안정화하기 위한 시스템 및 방법 - Google Patents

반응 챔버 압력을 안정화하기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR20210095798A
KR20210095798A KR1020210002336A KR20210002336A KR20210095798A KR 20210095798 A KR20210095798 A KR 20210095798A KR 1020210002336 A KR1020210002336 A KR 1020210002336A KR 20210002336 A KR20210002336 A KR 20210002336A KR 20210095798 A KR20210095798 A KR 20210095798A
Authority
KR
South Korea
Prior art keywords
gas
line
reaction chamber
vent line
pressure
Prior art date
Application number
KR1020210002336A
Other languages
English (en)
Inventor
에이치로 시바
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210095798A publication Critical patent/KR20210095798A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/139Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring a value related to the quantity of the individual components and sensing at least one property of the mixture
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • G05D16/20Control of fluid pressure characterised by the use of electric means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • G05D16/20Control of fluid pressure characterised by the use of electric means
    • G05D16/2006Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means
    • G05D16/2013Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means using throttling means as controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0652Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged in parallel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Abstract

반응기 시스템은 제1 가스 공급원; 제2 가스 공급원; 그리고 제1 및 제2 가스 공급원에 유체 결합된 반응 챔버를 포함할 수 있으며, 제1 가스와 제2 가스는, 반응 챔버 압력의 안정성을 달성하기 위해 제1 및 제2 가스 공급원 각각으로부터 반응 챔버에 공급될 수 있다. 반응기 시스템은, 반응 챔버에 유체 결합되고 반응 챔버로부터 하류에 있는 배기 라인; 제1 및/또는 제2 가스 공급원에 그리고 배기 라인에 유체 결합되고 상기 반응 챔버를 우회하는 벤트 라인; 벤트 라인 내의 벤트 라인 압력을 모니터링하도록 구성되고 벤트 라인에 결합된 압력 모니터; 및/또는 벤트 라인에 결합되고 압력 모니터로부터의 피드백에 응답하여 조절하도록 구성된 벤트 라인 컨덕턴스 제어 밸브를 추가로 포함할 수 있다.

Description

반응 챔버 압력을 안정화하기 위한 시스템 및 방법{Systems and Methods for Stabilizing reaction chamber pressure}
본 개시는 일반적으로 반응 챔버용 가스 공급 시스템에 관한 것으로, 특히 반응 챔버에 가스를 공급하는 동안에 반응 챔버 압력을 안정화하기 위한 방법에 관한 것이다.
반도체 기판 위에 다양한 재료 층을 증착하기 위해 반응 챔버를 사용할 수 있다. 기판은 반응 챔버 내부의 서셉터 상에 배치될 수 있다. 기판 및 서셉터는 원하는 기판 온도 설정점으로 가열될 수 있다. 예시적인 기판 처리 공정에서, 하나 이상의 반응물 가스는 가열된 기판 위를 지나갈 수 있어서 기판 표면 상에 물질의 박막을 증착시킨다. 후속하는 증착, 도핑, 리소그라피, 식각 및/또는 기타 공정들 내내, 이들 층은 집적 회로와 같은 소자로 만들어진다.
임의의 주어진 공정에 대해, 반응물 가스 및/또는 임의의 부산물 가스는 이어서 진공을 통해 배기되고/배기되거나 반응 챔버로부터 퍼지될 수 있다. 예를 들어, 막을 형성하기 위해 반응물 가스를 포함한 재료의 유량 및 공급 시간을 제어하는 것은, 원하는 결과 및 원하는 소자 안정성을 달성하는 데 중요하다. 또한, 반응 전에, 반응 동안, 및/또는 반응 후에 반응 챔버 내에서 실질적으로 일정한 압력을 유지하면, 기판 상의 최종 증착된 층을 생성하는 데 있어서 원하는 결과를 달성하는 것을 용이하게 할 수 있다.
본 발명의 내용은 선정된 개념을 단순화된 형태로 소개하기 위해 제공된다. 이들 개념은 하기의 본 발명의 예시적 구현예의 상세한 설명에 더 상세하게 기재되어 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 필수적인 특징을 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
일부 구현예에서, 반응기 시스템이 제공된다. 본원에 개시된 반응기 시스템은, 반응기 시스템의 반응 챔버 내에서 압력을 안정화시킬 수 있는 가스 공급 시스템을 포함할 수 있다. 따라서, 예를 들어 상기 반응 챔버 내에서 증착, 식각 또는 세정 공정과 같은 공정 동안에 상기 반응 챔버에 공급되는 가스를 변경하면, 상기 반응 챔버 압력에 실질적으로 영향을 미치지 않을 수 있어서, 이의 공정 및 그 결과에 대해 더 많은 제어를 허용할 수 있다.
다양한 구현예에서, 반응기 시스템은, 제1 가스 공급원; 상기 제1 가스 공급원에 유체 결합된 제1 가스 공급 경로; 제2 가스 공급원; 상기 제2 가스 공급원에 유체 결합된 제2 가스 공급 경로; 상기 제1 가스 공급 경로 및 상기 제2 가스 공급 경로에 유체 결합되는 반응 챔버(상기 제1 가스 공급 경로는 제1 가스 공급 경로 말단부에서 상기 반응 챔버에 유체 결합될 수 있고, 상기 제2 가스 공급 경로는 제2 가스 공급 경로 말단부에서 상기 반응 챔버에 유체 결합될 수 있고, 상기 제1 가스는 상기 제1 가스 공급원으로부터 상기 반응 챔버에 공급될 수 있고 상기 제2 가스는 상기 제2 가스 공급원으로부터 상기 반응 챔버에 공급될 수 있어 반응 챔버 압력의 안정성을 달성함); 상기 반응 챔버에 유체 결합되고 이로부터 하류에 있는 배기 라인; 상기 제1 가스 공급 경로 및 상기 제2 가스 공급 경로 중 적어도 하나, 그리고 상기 배기 라인에 유체 결합되고 상기 반응 챔버를 우회하는 벤트 라인; 상기 벤트 라인 내의 벤트 라인 압력을 모니터링하기 위해 구성되고 상기 벤트 라인에 결합된 압력 모니터; 및/또는 상기 압력 모니터로부터의 피드백에 응답하여 조절하도록 구성될 수 있으며 상기 벤트 라인에 결합되는 벤트 라인 컨덕턴스 제어 밸브를 포함할 수 있다.
다양한 구현예에서, 반응 챔버는, 챔버 유입구 경로를 통해 제1 가스 공급 경로 및 제2 가스 공급 경로에 유체 결합될 수 있으며, 제1 가스 공급 경로는 제1 가스 공급 경로 말단부에서 챔버 유입구 경로에 유체 결합될 수 있고, 제2 가스 공급 경로는 제2 가스 공급 경로 말단부에서 챔버 유입구 경로에 유체 결합될 수 있다. 다양한 구현예에서, 제1 가스 공급 경로는 제1 가스 주 라인 및 제1 가스 분기 라인을 포함할 수 있고, 상기 제1 가스 주 라인은 반응 챔버와 유체 연통할 수 있고, 상기 제1 가스 분기 라인은 벤트 라인과 유체 연통할 수 있다. 다양한 구현예에서, 제2 가스 공급 경로는 제2 가스 주 라인 및 제2 가스 분기 라인을 포함할 수 있고, 상기 제2 가스 주 라인은 반응 챔버와 유체 연통할 수 있고, 상기 제2 가스 분기 라인은 벤트 라인과 유체 연통할 수 있다.
다양한 구현예에서, 제1 가스 주 라인은, 반응 챔버의 상류와 제1 가스 분기 라인의 하류에 배치된 제1 주 라인 밸브를 포함할 수 있고, 제1 가스 분기 라인은 제1 분기 라인 밸브를 포함할 수 있다. 제2 가스 주 라인은 반응 챔버의 상류와 제2 가스 분기 라인의 하류에 배치된 제2 주 라인 밸브를 포함할 수 있고, 상기 제2 가스 분기 라인은 제2 분기 라인 밸브를 포함할 수 있고, 상기 제1 주 라인 밸브, 상기 제2 주 라인 밸브, 상기 제1 분기 라인 밸브, 및 상기 제2 분기 라인 밸브는, 상기 제1 가스 주 라인, 제2 가스 주 라인, 상기 제1 가스 분기 라인, 상기 제2 가스 분기 라인 각각을 통해 가스 흐름을 적어도 부분적으로 증가시키거나 감소시키도록 구성될 수 있다.
다양한 구현예에서, 반응기 시스템은, 압력 모니터 및 벤트 라인 컨덕턴스 제어 밸브와 전자 통신하는 프로세서; 및 상기 프로세서와 통신하도록 구성되며 상기 프로세서에 의한 실행에 응답하여 상기 프로세서로 하여금 특정 단계를 수행하거나 이의 성능을 용이하게 하는 명령어를 저장한, 유형적이고 비일시적인 메모리를 추가로 포함할 수 있다. 이러한 단계는, 압력 모니터에 의해 상기 벤트 라인 내의 상기 벤트 라인 압력을 모니터링하는 단계; 상기 프로세서에 의해 상기 벤트 라인 압력의 변화를 감지하는 단계; 및/또는 상기 프로세서에 의해 상기 컨덕턴스 제어 밸브의 조절을 명령하여, 상기 벤트 라인 압력의 변화를 감지하는 것에 응답하여 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 개방시키거나 더 폐쇄시키는 단계를 포함할 수 있다. 다양한 구현예에서, 상기 벤트 라인 압력의 변화를 감지하는 단계는, 상기 반응 챔버 내의 반응 챔버 압력과 상기 벤트 라인 압력의 차이를 감지하는 단계를 포함할 수 있고, 상기 벤트 라인 컨덕턴스 제어 밸브에 의한 조절은 상기 벤트 라인 압력과 상기 반응 챔버 압력이 덜 상이하도록 구성될 수 있다.
다양한 구현예에서, 방법은, 반응 챔버가 원하는 압력 레벨을 포함하도록 제1 가스 공급원으로부터 반응 챔버로 제1 가스를 흐르게 하는 단계; 상기 제1 가스 공급원으로부터 상기 반응 챔버로 상기 제1 가스를 흐르는 동안, 제2 가스 공급원으로부터 상기 반응 챔버 하류의 배기 라인으로 제2 가스를 흐르게 하는 단계(여기서, 상기 벤트 라인은 상기 제2 가스 공급원 및 상기 배기 라인과 유체 연통할 수 있고 상기 반응 챔버를 우회할 수 있음); 상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키는 단계; 상기 배기 라인으로 상기 제2 가스의 흐름을 감소 및/또는 중지시키는 단계; 상기 반응 챔버가 상기 반응 챔버의 원하는 압력 레벨을 유지할 수 있도록 상기 배기 라인으로 상기 제2 가스의 흐름을 감소 및/또는 중지시키는 것에 응답하여 상기 제2 가스를 상기 반응 챔버로 흐르게 하는 단계; 상기 벤트 라인에 결합된 압력 모니터를 통해, 상기 벤트 라인의 벤트 라인 압력을 모니터링하는 단계; 및/또는 상기 압력 모니터에 의해 감지된 상기 벤트 라인 압력에 기초하여, 상기 벤트 라인에 결합된 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계를 포함할 수 있다.
다양한 구현예에서, 압력 모니터는 프로세서를 통해 벤트 라인 컨덕턴스 제어 밸브와 전자 통신할 수 있으며, 이는 압력 모니터로부터 압력 피드백을 수신할 수 있고, 벤트 라인 컨덕턴스 제어 밸브에 명령을 전송할 수 있어서, 벤트 라인 컨덕턴스 제어 밸브를 조절한다. 다양한 구현예에서, 배기 라인으로 제2 가스의 흐름을 감소 및/또는 중지시키는 단계는, 반응 챔버로 제1 가스의 흐름을 중지시키면서, 함께 발생할 수 있다. 다양한 구현예에서, 제2 가스 공급원으로부터 벤트 라인을 통해 배기 라인으로 제2 가스를 흐르게 하는 단계는, 제2 가스 공급 경로를 통해 제2 가스를 흐르게 하는 단계를 포함할 수 있고, 이는 제2 가스 주 라인 및 제2 가스 분기 라인을 포함할 수 있고, 상기 제2 가스 주 라인은 반응 챔버와 유체 연통할 수 있고, 상기 제2 가스 분기 라인은 벤트 라인과 유체 연통할 수 있다. 제2 가스 분기 라인에 결합된 제2 분기 라인 밸브를 적어도 부분적으로 폐쇄하는 것에 응답하여, 배기 라인으로 제2 가스의 흐름을 감소시키거나 이를 중지시킬 수 있다. 상기 제2 가스 분기 라인의 하류에 있는 상기 제2 가스 주 라인에 결합된 제2 주 라인 밸브를 적어도 부분적으로 개방하는 것에 응답하여, 상기 제2 가스를 상기 반응 챔버로 흐르게 할 수 있다.
다양한 구현예에서, 상기 방법은, 상기 벤트 라인 압력의 증가를 감지하는 단계(여기서 상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는, 상기 벤트 라인을 통한 더 적은 흐름을 허용하기 위해 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 폐쇄시키는 단계를 포함할 수 있음); 및/또는 상기 벤트 라인 압력의 감소를 감지하는 단계(여기서 상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는, 상기 벤트 라인을 통한 더 많은 흐름을 허용하기 위해 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 개방시키는 단계를 포함할 수 있음)를 추가로 포함할 수 있다.
다양한 구현예에서, 방법은, 반응 챔버가 원하는 압력 레벨을 포함하도록 제1 가스 공급원으로부터 반응 챔버로 제1 가스를 흐르게 하는 단계; 상기 제1 가스 공급원으로부터 상기 반응 챔버로 상기 제1 가스를 흐르는 동안, 제2 가스 공급원으로부터 상기 반응 챔버 하류의 배기 라인으로 제2 가스를 흐르게 하는 단계(여기서, 상기 벤트 라인은 상기 제2 가스 공급원 및 상기 배기 라인과 유체 연통할 수 있고 상기 반응 챔버를 우회할 수 있음); 상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키는 단계; 상기 배기 라인으로 상기 제2 가스의 흐름을 감소 및/또는 중지시키는 단계; 상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키는 단계에 응답하여 상기 벤트 라인을 통해 상기 배기 라인으로 제1 가스를 흐르게 하는 단계(상기 벤트 라인은 상기 제1 가스 공급원과 유체 연통할 수 있음); 상기 반응 챔버가 상기 반응 챔버의 원하는 압력 레벨을 유지할 수 있도록 상기 배기 라인으로 상기 제2 가스의 흐름을 감소 및/또는 중지시키는 것에 응답하여 상기 제2 가스를 상기 반응 챔버로 흐르게 하는 단계; 상기 벤트 라인에 결합된 압력 모니터를 통해, 벤트 라인 압력을 모니터링하는 단계; 및/또는 상기 압력 모니터에 의해 감지된 상기 벤트 라인 압력에 기초하여, 상기 벤트 라인에 결합된 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계를 포함할 수 있다. 다양한 구현예에서, 반응 챔버로 제1 가스의 흐름을 중지시키면서, 배기 라인으로 제2 가스의 흐름을 감소 및/또는 중지시킬 수 있다. 다양한 구현예에서, 배기 라인으로 제1 가스가 흐르면서, 반응 챔버로 제2 가스가 흐를 수 있다.
다양한 구현예에서, 상기 제1 가스 공급원으로부터 상기 반응 챔버로 상기 제1 가스를 흐르게 하는 단계는, 제1 가스 주 라인과 제1 가스 분기 라인을 포함할 수 있는 제1 가스 공급 경로를 통해 상기 제1 가스를 흐르게 하는 단계를 포함할 수 있으며, 상기 제1 가스 주 라인은 상기 반응 챔버와 유체 연통할 수 있고, 상기 제1 가스 분기 라인은 상기 벤트 라인과 유체 연통할 수 있다. 상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키는 단계는, 상기 제1 가스 분기 라인의 하류에 있는 상기 제1 가스 주 라인에 결합된 제1 주 라인 밸브를 폐쇄하는 것에 응답하여 발생할 수 있다. 상기 벤트 라인을 통해 상기 배기 라인으로 상기 제1 가스를 흐르게 하는 단계는, 상기 제1 가스 분기 라인에 결합된 제1 분기 라인 밸브를 개방하는 것에 응답하여 발생할 수 있다.
다양한 구현예에서, 제2 가스 공급원으로부터 벤트 라인을 통해 배기 라인으로 제2 가스를 흐르게 하는 단계는, 제2 가스 공급 경로를 통해 제2 가스를 흐르게 하는 단계를 포함할 수 있고, 이는 제2 가스 주 라인 및 제2 가스 분기 라인을 포함할 수 있고, 상기 제2 가스 주 라인은 반응 챔버와 유체 연통할 수 있고, 상기 제2 가스 분기 라인은 벤트 라인과 유체 연통할 수 있다. 상기 제2 가스 분기 라인에 결합된 제2 분기 라인 밸브를 적어도 부분적으로 폐쇄하는 것에 응답하여, 상기 배기 라인으로 상기 제2 가스의 흐름을 감소 및/또는 중지시킬 수 있다. 상기 제2 가스 분기 라인의 하류에 있는 상기 제2 가스 주 라인에 결합된 제2 주 라인 밸브를 적어도 부분적으로 개방하는 것에 응답하여, 상기 제2 가스를 상기 반응 챔버로 흐르게 할 수 있다.
다양한 구현예에서, 상기 방법은, 상기 벤트 라인 압력의 감소를 감지하는 단계(여기서 상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는, 상기 벤트 라인을 통한 더 많은 흐름을 허용하기 위해 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 개방시키는 단계를 포함할 수 있음); 및/또는 상기 벤트 라인 압력의 증가를 감지하는 단계(여기서 상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는, 상기 벤트 라인을 통한 더 적은 흐름을 허용하기 위해 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 폐쇄시키는 단계를 포함할 수 있음)를 추가로 포함할 수 있다. 다양한 구현예에서, 상기 벤트 라인 압력의 감소를 감지하고/감지하거나 상기 벤트 라인 압력의 증가를 감지하는 단계는, 상기 반응 챔버 내의 반응 챔버 압력에 대한 벤트 라인 압력의 차이를 감지하는 단계를 포함할 수 있다. 여러 구현예에서, 상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는, 상기 벤트 라인 압력을 상기 반응 챔버 압력과 덜 상이하게 할 수 있다.
본 개시 및 종래 기술에 대해 달성된 장점을 요약하기 위한 목적으로, 본 개시의 특정 목표 및 장점이 본원에 전술되어 있다. 물론, 이러한 모든 목적 및 이점이 본 개시의 임의의 특정 구현예에 따라 반드시 달성되는 것이 아니라는 점을 이해해야 한다. 따라서, 예들 들어 당업자는, 본원에 개시된 구현예는, 본원에 교시 또는 제안될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고서, 본원에 교시되거나 제시된 바와 같은 하나의 장점 또는 여러 장점들을 달성하거나 최적화하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두는 본 개시의 범주 내에 있는 것으로 의도된다. 본 개시는 논의된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
본 개시의 주제는 특히 본 명세서의 결론 부분에서 요약되고 명백하게 청구된다. 그러나, 본 개시의 보다 완전한 이해는 도면과 관련하여 고려될 때 상세한 설명 및 청구범위를 참조함으로써 가장 잘 얻어질 수 있으며, 여기서 유사한 숫자는 유사한 요소를 나타낸다.
도 1은 다양한 구현예에 따라, 반응기 시스템의 개략적인 다이어그램을 나타낸다.
도 2는 다양한 구현예에 따라, 반응기 시스템용 가스 공급 시스템 및 반응기 챔버의 개략적인 다이어그램을 나타낸다.
도 3은 다양한 구현예에 따라, 반응기 시스템용 다른 가스 공급 시스템 및 반응 챔버의 개략적인 다이어그램을 나타낸다.
도 4는 다양한 구현예에 따른 방법을 나타낸다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 개시가 구체적으로 개시된 구현예 및/또는 본 개시의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 본 개시의 범주는 본원에 설명된 특정 구현예에 의해 제한되지 않도록 의도된다.
본원에 제시된 예시는 임의의 특정한 재료, 장치, 구조, 또는 소자의 실제 뷰를 의도하려 하는 것은 아니며, 단지 본 개시의 구현예를 설명하기 위해 사용되는 표현이다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "원자층 증착"(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 바람직하게는 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 일반적으로, 각각의 사이클 중에 전구체는 증착 표면(예, 기판 표면, 또는 이전 ALD 사이클로부터의 물질과 같은 이전에 증착된 하부 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응) 단층 또는 서브 단층을 형성한다. 그 후 필요한 경우, 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 용도로, 반응물(예를 들어, 다른 전구체 또는 반응 가스)이 후속해서 공정 챔버에 유입될 수 있다. 일반적으로, 이러한 반응물은 전구체와 더 반응할 수 있다. 각각의 사이클 중에 공정 챔버로부터 과잉의 전구체를 제거하고/하거나, 화학 흡착된 전구체의 변환 후 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거하기 위해 퍼지 단계들이 더 활용될 수도 있다. 추가로, 본원에서 사용되는 바와 같이, 용어 "원자층 증착"은 전구체 조성물(들), 반응 가스, 및 퍼지(예, 불활성 캐리어) 가스의 교번 펄스로 수행되는 경우, "화학 기상 원자층 증착", "원자층 에피택시" (ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
본원에서 사용되는 바와 같이, 용어 "화학 기상 증착(CVD)"은 원하는 증착을 생성시키기 위해 기판의 표면 상에서 반응 및/또는 분해되는 하나 이상의 휘발성 전구체에 기판이 노출되는 임의의 공정을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "막" 및 "박막"은 본원에 개시된 방법에 의해 증착된 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭할 수 있다. 예를 들어, "막" 및 "박막"은 2D 재료, 나노막대, 나노튜브, 또는 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. "막" 및 "박막"은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만, 여전히 적어도 부분적으로 연속적일 수 있다.
본원에서 사용되는 바와 같이, 용어 "오염물"은, 반응 챔버에 배치된 층 또는 기판의 순도에 영향을 끼칠 수 있는 반응 챔버 내에 배치된 원하지 않는 임의의 물질 또는 반응 시스템의 임의 구성 요소에서 임의의 원하지 않는 물질을 지칭할 수 있다. "오염물"이라는 용어는, 반응 챔버 또는 반응기 시스템의 다른 구성 요소 내에 배치된 불필요한 증착물, 금속 및 비금속 입자, 불순물 및 폐기물을 지칭할 수 있으나, 이에 제한되지 않는다.
본원에서 사용되는 바와 같이, 용어 "가스"는 기화된 고체 및/또는 액체를 포함할 수 있고 단일 가스 또는 가스 혼합물로 구성될 수 있다.
ALD, CVD 및/또는 기타에 사용되는 반응기 시스템은, 기판 표면 상에 재료를 증착 및 에칭하는 것을 포함하는 다양한 응용에 사용될 수 있다. 다양한 구현예에서, 도 1을 참조하여, 반응기 시스템(50)은 반응 챔버(4), 공정 처리 중에 기판(30)을 유지하기 위한 서셉터(6), 하나 이상의 반응물을 기판(30)의 표면에 분배하기 위한 가스 분배 시스템(8)(예, 샤워헤드), 하나 이상의 반응물 공급원(10, 12), 및/또는 라인(16 내지 20) 및 밸브 또는 제어기(22 내지 26)를 통해 반응 챔버(4)에 유체 결합되는 캐리어 및/또는 퍼지 가스 공급원(14)을 포함할 수 있다. 반응물 공급원(10, 12)으로부터의 반응 가스 또는 다른 재료는 반응 챔버(4) 내의 기판(30)에 인가될 수 있다. 퍼지 가스 공급원(14)으로부터의 퍼지 가스는, 반응 챔버(4)로 그리고 반응 챔버를 통해 흘러서 반응 챔버(4)로부터의 임의의 과량의 반응물 또는 다른 바람직하지 않은 재료를 제거할 수 있다. 시스템(50)은, 반응 챔버(4)에 유체 결합된 진공원(28)을 또한 포함할 수 있고, 이는 반응 챔버(4) 밖으로 반응물, 퍼지 가스, 또는 다른 재료를 흡입하도록 구성될 수 있다.
(예를 들어, 반응 챔버(예, 반응 챔버(4) 내의 기판 상에 재료를 증착, 식각, 및/또는 세정하기 위한) 다양한 공정은, 반응 챔버 내의 압력이 실질적으로 일정하게(예를 들어, 반응 챔버 압력이 원하는 레벨 및/또는 원하는 범위 내에서 유지됨) 되는 것에 반응하여, 더 잘 조절될 수 있다. 증착, 식각 및/또는 세정 공정과 같은 공정은, 반응 챔버로 전달되는 가스를 스위칭하는 단계를 포함할 수 있다. 예를 들어, 증착 공정에서, 제1 반응물 가스가 반응 챔버로 전달될 수 있고, 이어서 퍼지 가스가 임의의 오염물을 퍼지하거나 남아 있는 제1 반응물 가스를 퍼지하고, 이어서 제2 반응물 가스가 반응 챔버로 전달될 수 있다.
본원에서 사용되는 바와 같이, 용어 "실질적으로 일정한" "실질적으로 유사한" "실질적으로 같은" 및/또는 기타는 중요하지 않은 변동, 감지 가능한 변동보다 낮고, 원하는 또는 의도된 결과 또는 특성에 실질적으로 영향을 미치지 않는 변동, 및/또는 거의 변함없는 것으로 당업자에 의해 인식되는 변동, 예컨대 차이가 평균 값 또는 기준 변동의 20% 미만, 10% 미만, 5% 미만, 또는 1% 미만 또는 일부 구현예에서, 비교 또는 기준 변동에 대한 이의 임의의 범위에 관한 것을 참조하는 것으로 해석될 수 있다. 다양한 구현예에서, 실질적으로 일정한 압력(예, 반응기 시스템의 반응 챔버, 또는 그 안에 포함된 임의의 다른 구성 요소의 압력)은 반응 챔버 내의 기판의 처리 동안에 최대 압력과 최소 압력 사이의 차이를 지칭할 수 있고, 이는 200 Pa, 100 Pa, 50 Pa, 10 Pa, 3 Pa, 또는 1 Pa 미만이다.
본원에서 사용되는 바와 같이, "실질적으로 동시에"는 동일한 시간 또는 거의 동일한 시간에서 발생하고/발생하거나 원하는 지속 시간(예, 1초, 1밀리초 이내 등) 내에서 동기화되는 두 개 이상의 동작 또는 이벤트를 지칭할 수 있다. 예를 들어, 관찰자는 두 개의 실질적으로 동시적인 동작 또는 이벤트가 동시에 발생하였음을 판단할 수 있다. 하나 이상의 실질적으로 동시적인 동작 또는 이벤트는, 서로에 대해 5초, 1초, 0.1초, 1밀리초 또는 동시에 발생할 수 있다.
도 2 및 도 3을 참조하면, 반응기 시스템의 가스 공급 시스템(100, 200)은, 제1 질량 흐름 제어기(MFC)(112)에 유체 결합된 제1 가스 공급원(103), 및 제2 MFC(114)에 유체 결합된 제2 가스 공급원(107)을 포함할 수 있다. 제1 가스 공급원(103) 및 제2 가스 공급원(107)은 반응 챔버(150)와 유체 연통할 수 있다. 다양한 구현예에서, 제1 가스는 제1 가스 공급원(103)으로부터, 반응 챔버(150)와 유체 연통할 수 있는 제1 가스 공급 경로(120)를 통해 공급될 수 있다. 다양한 구현예에서, 제2 가스는 제2 가스 공급원(107)으로부터, 반응 챔버(150)와 유체 연통할 수 있는 제2 가스 공급 경로(130)를 통해 공급될 수 있다.
다양한 구현예에서, 제1 가스 공급 경로(120) 및/또는 제2 가스 공급 경로(130)는, 제1 가스 공급 경로(120) 및/또는 제2 가스 공급 경로(130)가 반응 챔버와 직접 유체 연통하도록, 반응 챔버(150)에 결합될 수 있다. 다양한 구현예에서, 가스 공급 시스템(100 및 200)은 반응 챔버(150)에 유체 결합된 챔버 유입구 경로(152)를 포함할 수 있다. 챔버 유입구 경로(152)는 제1 가스 공급 경로(120) 및/또는 제2 가스 공급 경로(130)에 유체 결합될 수 있다. 다양한 구현예에서, 제1 가스 공급 경로(120) 및 제2 가스 공급 경로(130)는 수렴 지점(154)에서 챔버 유입구 경로(152)에 결합될 수 있으며, 수렴 지점에서 제1 가스 공급 경로(120), 제2 가스 공급 경로(130) 및 챔버 유입구 경로(152)는 수렴하고 서로 유체 결합한다. 다양한 구현예에서, 제1 가스 공급 경로(120) 및/또는 제2 가스 공급 경로(130)는 별도의 위치에서 챔버 유입구 경로(152)에 결합될 수 있다. 따라서, 다양한 구현예에서, 제1 가스 공급원(103)으로부터의 제1 가스는, 제1 가스 공급 경로(120) 및 챔버 유입구 경로(152)를 통해 이동함으로써, 반응 챔버(150)에 공급될 수 있다. 유사하게, 제2 가스 공급원(107)으로부터의 제2 가스는, 제2 가스 공급 경로(130) 및 챔버 유입구 경로(152)를 통해 이동함으로써, 반응 챔버(150)에 공급될 수 있다. 다양한 구현예에서, 제1 가스 공급 경로(120)는 제1 가스 공급 경로 말단부에서 반응 챔버(150) 및/또는 챔버 유입구 경로(152)에 유체 결합될 수 있고, 제2 가스 공급 경로(130)는 제2 가스 공급 경로 말단부에서 반응 챔버(150) 및/또는 챔버 유입구 경로(152)에 유체 결합될 수 있다.
다양한 구현예에서, 반응 챔버(150)에서 발생하는 공정(예, 증착, 식각 및/또는 세정 공정) 동안, 상이한 가스가 상이한 시간에 반응 챔버(150)에 공급될 수 있다. 예를 들어, 제1 가스 공급원(103)으로부터의 제1 가스는 반응 챔버(150)에 (예를 들어, 일정 시간 동안 일정한 흐름으로, 적절한 속도로 그리고/또는 임의의 적절한 지속 기간 동안 펄스로, 기타 등등) 공급될 수 있고, 이어서 제2 가스 공급원(107)으로부터의 제2 가스가 반응 챔버(150)에 (예를 들어, 동시에 또는 제1 가스와 상이한 시간에) 공급될 수 있다. 다양한 구현예에서, 제1 가스 및 제2 가스는 증착 사이클에서 반응 챔버(150)에 공급될 수 있다. 증착 사이클은, 예를 들어 제1 가스를 반응 챔버(150)에 공급한 다음 제2 가스를 반응 챔버(150)에 공급하는 단계를 포함할 수 있으며, 이러한 사이클은 반복될 수 있다. 다양한 구현예에서, 반응기 시스템 및 그 안의 가스 공급 시스템은, 임의의 적절한 수의 가스 공급원, 예컨대 제3 가스 공급원을 포함할 수 있다. 이러한 구현예에서, 기판 상의 증착 사이클은 제1 가스, 그 다음 제2 가스, 및 그 다음 제3 가스를 반응 챔버에 공급하는 단계를 포함할 수 있다. 공정에 사용되는 가스는 임의의 적합한 유형의 가스, 예컨대 반응물 가스(또는 기판 상에 증착되거나 증착 층을 생성하기 위해 반응할 임의의 재료를 포함한 가스) 또는 증착 공정의 다음 단계 전에 임의의 접근 반응물 가스 또는 부산물/오염물을 반응 챔버로부터 제거하기 위해 사용되는 퍼지 가스일 수 있다.
다양한 구현예에서, 가스 공급 시스템(100 및 200)은, 반응 챔버(150)의 하류에서 반응 챔버(150)에 유체 결합된 배기 라인(160)에 제1 또는 제2 가스를 운반하도록 구성된 벤트 라인(140)을 포함할 수 있다. 배기 라인(160)은 진공원(예, 도 1의 진공원(28))의 상류에 그리고 이에 유체 결합될 수 있다. 따라서, 벤트 라인(140)은, 제1 가스 공급 경로(120) 및/또는 제2 가스 공급 경로(130)로부터 반응 챔버(150)를 우회하는 반응 챔버(150)의 하류로, 가스 경로를 제공할 수 있다. 다양한 구현예에서, 압력 제어 밸브(162)는 배기 라인(160)에 결합될 수 있다. 압력 제어 밸브(162)는 배기 라인(160) 및/또는 반응 챔버(150) 내의 압력을 조절하거나 제어하기 위해, 가변 각도를 개방하거나 폐쇄하도록 구성될 수 있다(예, 본원에서 논의된 컨덕턴스 제어 밸브(158)의 작동과 유사함). 압력 제어 밸브(162)는 제어기(60) 및/또는 프로세서(65)에 의해 제어될 수 있다.
다양한 구현예에서, 하나 이상의 가스 공급원에 대한 가스 공급 경로는 벤트 라인에 결합될 수 있다. 예를 들어, 도 2의 가스 공급 시스템(100)에 나타낸 바와 같이, 제1 가스 공급 경로(120) 및 제2 가스 공급 경로(130) 둘 모두는 벤트 라인(140)에 유체 결합될 수 있다. 제1 가스 공급 경로(120)는, 챔버 유입구 경로(152) 및/또는 반응 챔버(150)에 유체 결합될 수 있는 제1 가스 주 라인(122), 및 제1 가스 주 라인(122)과 벤트 라인(140) 사이에 유체 결합된 제1 가스 분기 라인(124)을 포함할 수 있다. 다양한 구현예에서, 제1 가스 분기 라인(124)은 벤트 라인(140)의 일부일 수 있다. 가스 공급 시스템(100)의 제2 가스 공급 경로(130)는, 챔버 유입구 경로(152) 및/또는 반응 챔버(150)에 유체 결합될 수 있는 제2 가스 주 라인(132), 및 제2 가스 주 라인(132)과 벤트 라인(140) 사이에 유체 결합된 제2 가스 분기 라인(134)을 포함할 수 있다. 도 3에 나타낸 가스 공급 시스템(200)에서, 제1 가스 공급 경로(120)는 제1 가스 분기 라인을 포함하지 않을 수 있거나, 그렇지 않으면 벤트 라인(140)과 유체 연통할 수 있다.
다양한 구현예에서, 가스 공급 시스템(예, 가스 공급 시스템(100, 200))은, 가스 공급 시스템의 다양한 유체 경로에서 유체 또는 가스 흐름을 제어하기 위한 하나 이상의 밸브를 포함할 수 있다. 예를 들어, 가스 공급 시스템(100, 200)에서, 제1 가스 공급 경로(120)는, 제1 가스 주 라인(122)에 결합되고 제1 가스 주 라인(122)을 통한 제1 가스의 흐름을 적어도 부분적으로 증가시키거나 감소시키도록 구성된, 제1 주 라인 밸브(126)를 포함할 수 있다. 제1 가스 공급 경로(120)는, 제1 가스 분기 라인(124)에 결합되고 제1 가스 분기 라인(124)을 통한 제1 가스의 흐름을 적어도 부분적으로 증가시키거나 감소시키도록 구성된, 제1 분기 라인 밸브(128)를 포함할 수 있다. 유사하게, 제2 가스 공급 경로(130)는, 제2 가스 주 라인(132)에 결합되고 제2 가스 주 라인(132)을 통한 제2 가스의 흐름을 적어도 부분적으로 증가시키거나 감소시키도록 구성된, 제2 주 라인 밸브(136)를 포함할 수 있다. 가스 공급 시스템(200)에서, 제2 가스 공급 경로(130)는, 제2 가스 분기 라인(134)에 결합되고 제2 가스 분기 라인(134)을 통한 제2 가스의 흐름을 적어도 부분적으로 증가시키거나 감소시키도록 구성된, 제2 분기 라인 밸브(138)를 포함할 수 있다.
다양한 구현예에서, 가스 공급 시스템(100 및 200), 및/또는 가스 공급 시스템을 포함한 반응기 시스템은, 하나 이상의 프로세서 및/또는 제어기를 포함할 수 있다. 프로세서(예, 프로세서(65))를 포함한 제어기(예, 제어기(60))는 제1 주 라인 밸브(126), 제1 분기 라인 밸브(128), 제2 주 라인 밸브(136) 및/또는 제2 분기 라인 밸브(138)와 전자 통신할 수 있고/있거나, 이러한 밸브를 제어하는 제어기와 전자 통신할 수 있다. 프로세서 및/또는 제어기는 상기 프로세서 및/또는 제어기와 통신하도록 구성된 유형적이고 비일시적 메모리와 전자 통신할 수 있고, 상기 유형적이고 비일시적인 메모리는, 상기 프로세서 및/또는 제어기에 의한 실행에 응답하여, 상기 프로세서 및/또는 제어기로 하여금 상기 각각의 제1 주 라인 밸브(126), 제1 분기 라인 밸브(128), 제2 주 라인 밸브(136), 및/또는 제2 분기 라인 밸브(138)를 적어도 부분적으로 개방 또는 폐쇄하는 것을 포함하는 작동을 수행시키거나 용이하게 하도록 하는 명령어를 그 위에 저장한다.
전술한 바와 같이, 반응 챔버(150) 내의 기판의 처리(또는 반응 챔버에서 수행되는 임의의 다른 공정) 동안에, 상이한 가스가 상이한 시간에 반응 챔버(150)에 공급될 수 있다. 그러나, 반응 챔버(150) 내의 압력이 (예를 들어, 원하는 압력 범위 및/또는 실질적으로 일정한 범위 내에서) 원하는 레벨로 유지되는 경우, 원하는 결과를 달성하기 위해 기판 상에 재료를 증착하는 것이 더 양호하게 제어될 수 있다. 따라서, 반응 챔버(150)에 공급되는 다른 가스가 감소하거나 중지되는 것에 응답하여, 하나의 가스를 반응 챔버(150)에 공급(또는 공급을 증가)하는 것이 유익할 수 있거나, 그렇지 않으면 실질적으로 일정한 반응 챔버 압력을 달성하기 위해 반응기 시스템 내의 가스의 흐름을 변경시킬 수 있다(예를 들어, 반응 챔버(150)로 다른 하나 가스의 흐름 감소에 응답하여 반응 챔버(150)로 하나의 가스의 흐름을 증가시킴).
따라서, 도 2에 나타낸 가스 공급 시스템(100)은, 원하는 압력(예를 들어, 실질적으로 일정한 압력)을 내부에 유지하기 위해, 반응 챔버(150)에 가스를 공급하도록 구성될 수 있다. 제1 가스 공급원(103)으로부터의 제1 가스는 반응물 가스일 수 있고, 제2 가스 공급원(107)으로부터의 제2 가스는 퍼지 가스(예, 질소 가스 또는 귀가스와 같은 비반응성 가스)일 수 있다. 그러나, 제1 및 제2 가스(또는 반응기 시스템 내의 추가 가스 공급원으로부터의 임의의 추가 가스)는 특정 공정 또는 응용에 적합한 임의의 가스일 수 있다.
도 4를 추가로 참조하면, 다양한 구현예에 따라, 반응 챔버 내에서 원하는 압력을 유지하는 방법(400)은, 제1 가스를 제1 가스 공급원(103)으로부터 제1 가스 공급 경로(120)를 통해 반응 챔버(150)로 흐르게 하는 단계(402)를 포함할 수 있다. 그렇게 하기 위해, 제1 주 라인 밸브(126)는 적어도 부분적으로 개방될 수 있고, 제1 분기 라인 밸브(128)는 폐쇄될 수 있다. 제1 가스가 반응 챔버(150)에 공급되는 동안, 제2 가스 공급원(107)으로부터의 제2 가스는 배기 라인(160)으로 흐를 수 있다(단계(404)). 그렇게 하기 위해, 제2 분기 라인 밸브(138)는 적어도 부분적으로 개방될 수 있고 제2 주 라인 밸브(136)는 폐쇄될 수 있다.
제1 가스는, 임의의 적합한 패턴(예, 일정한 스트림, 펄스 등)으로 임의의 적합한 지속 시간 동안에 반응 챔버(150)로 공급될 수 있다. 마찬가지로, 제2 가스는, 임의의 적합한 패턴으로 임의의 적절한 지속 시간 동안에 배기 라인(160)에 공급될 수 있다.
반응 챔버(150) 내에 제1 가스를 포함한 처리 또는 증착 단계가 완료되는 것에 응답하여, 반응 챔버(150)로 제1 가스의 흐름은 중지되거나(단계(406)) 감소될 수 있다. 반응 챔버(150)로 제1 가스의 흐름을 감소시키거나 중지시키기 위해, 제1 주 라인 밸브(126)는, 제1 가스가 제1 가스 주 라인(122)을 통해 반응 챔버(150)로 흐를 수 없도록 적어도 부분적으로 폐쇄될 수 있다. 제1 가스 주 라인(122)을 통한 제1 가스의 흐름을 감소시키거나 중지시키기 위해 적어도 부분적으로 폐쇄되는 제1 주 라인 밸브(126)에 응답하여, 제1 분기 라인 밸브(128)는 제1 가스를 배기 라인(160)으로 흐르게 할 수 있도록 개방할 수 있다(단계(412)). 다양한 구현예에서, 제1 가스를 배기 라인(160)으로 흐르게 하기 위해 개방되는 제1 분기 라인 밸브(128)에 응답하여, 제1 주 라인 밸브(126)는 제1 가스 주 라인(122)을 통한 제1 가스의 흐름을 적어도 부분적으로 감소시키거나 중지시키기 위해 적어도 부분적으로 폐쇄될 수 있다. 제1 주 라인 밸브(126)의 적어도 부분적인 폐쇄, 및 제1 분기 라인 밸브(128)의 적어도 부분적인 개방은, 실질적으로 동시에 또는 원하는(예, 소정의) 지속 시간(예, 1초, 1밀리초 이내 등) 내에 있을 수 있다.
제1 가스는, 반응 챔버(150) 내에서 원하는 압력을 유지하기 위해 더 이상 흐르지 않을 수 있거나 반응 챔버(150)로 감소된 흐름을 가질 수 있기 때문에, 제2 가스는 반응 챔버(150)로 라우팅될 수 있다. 배기 라인(160)으로 제2 가스의 흐름은 중지되거나(단계(408)) 감소될 수 있다. 배기 라인(160)으로 제2 가스의 흐름을 감소시키거나 중지시키기 위해, 제2 분기 라인 밸브(138)는, 제2 가스가 제2 분기 라인 밸브(138)를 통해 흐름을 감소시키고/감소시키거나 흐를 수 없도록, 적어도 부분적으로 폐쇄될 수 있다. 제2 가스 분기 라인(134)을 통한 제2 가스의 흐름을 감소시키거나 중지시키기 위해 적어도 부분적으로 폐쇄되는 제2 분기 라인 밸브(138)에 응답하여, 제2 주 라인 밸브(136)는, 제2 가스를 반응 챔버(150)로 흐를 수 있도록 적어도 부분적으로 개방될 수 있다(단계 410). 다양한 구현예에서, 제2 분기 라인 밸브(138)는, 제2 가스를 반응 챔버(150)로 흐르게 하기 위해 개방된 제2 주 라인 밸브(136)에 응답하여, 제2 가스 분기 라인(134)을 통한 제2 가스의 흐름을 감소시키거나 중지시키도록 적어도 부분적으로 폐쇄될 수 있다. 제2 분기 라인 밸브(138)의 적어도 부분적인 폐쇄, 및 제2 주 라인 밸브(136)의 적어도 부분적인 개방은, 실질적으로 동시일 수 있다.
다양한 구현예에서, 제2 가스의 흐름을, 배기 라인(160)으로의 흐름에서 반응 챔버(150)로의 흐름으로 변경하는 것과 실질적으로 동시에 및/또는 변경하는 동안에, 제1 가스의 흐름을, 반응 챔버(150)로의 흐름에서 배기 라인(160)으로의 흐름으로 변경할 수 있다. 즉, 제1 분기 라인 밸브(128)를 개방하고, 제2 분기 라인 밸브(138)를 폐쇄하고/폐쇄하거나 제2 주 라인 밸브(136)를 개방하는 것(및/또는 임의의 이러한 밸브의 부분 개방 또는 폐쇄)과 실질적으로 동시에 및/또는 이들 동안에, 제1 주 라인 밸브(126)를 적어도 부분적으로 폐쇄할 수 있다. 따라서, 반응 챔버(150)로 가스의 흐름은 연속적일 수 있거나, 반응 챔버(150)로 가스 흐름의 임의의 변화가 최소화되거나 방지될 수 있다. 프로세서 및/또는 제어기는, 원하는 시간(들)에서 제1 주 라인 밸브(126), 제1 분기 라인 밸브(128), 제2 주 라인 밸브(136) 및/또는 제2 분기 라인 밸브(138)의 개폐를 명령하고/명령하거나 달리 야기할 수 있다.
다양한 구현예에서, 반응 챔버(150)로 제1 가스의 흐름은, 제1 유량을 포함할 수 있고 반응 챔버(150) 내의 압력을 야기한다. 반응 챔버(150)로 제2 가스의 흐름은, 반응 챔버 내의 압력을 원하는 레벨(예를 들어, 원하는 최소 압력과 최대 압력 사이)로 유지하기 위해 제1 유량과 실질적으로 동일한 제2 유량을 포함할 수 있다. 따라서, 반응 챔버(150)로 흐르는 가스를 변화시키는 동안 일어날 수 있는 반응 챔버(150)의 압력 변동은, 최소화되거나 방지될 수 있다.
반응 챔버(150) 내의 기판에 제1 가스를 다시 적용하기 위해, 가스 공급 시스템(100)에 관해 사용되는 방법(400)의 단계는, 제1 분기 라인 밸브(128)를 적어도 부분적으로 폐쇄함으로써 배기 라인(160)으로 제1 가스의 흐름을 감소시키거나 중지시키는 단계(414), 및/또는 제2 주 라인 밸브(136)를 적어도 부분적으로 폐쇄함으로써 반응 챔버(150)로 제2 가스의 흐름을 감소시키거나 중지시키는 단계(416)를 추가로 포함할 수 있다. 이에 응답하여, 방법(400)은, 제1 가스를 반응 챔버(150)로 흐르게 하는 단계(402) 및 제2 가스를 배기 라인(160)으로 흐르게 하는 단계(404)로 다시 시작할 수 있다. 다양한 구현예에서, 제2 가스의 흐름을, 반응 챔버(150)로의 흐름에서 배기 라인(160)으로의 흐름으로 변경하는 것과 실질적으로 동시에, 제1 가스의 흐름을, 배기 라인(160)으로의 흐름에서 반응 챔버(150)로의 흐름으로 변경할 수 있다. 즉, 제1 분기 라인 밸브(128)의 폐쇄, 제2 분기 라인 밸브(138)의 개방, 및/또는 제2 주 라인 밸브(136)의 폐쇄 (및/또는 임의의 이러한 밸브의 부분적인 개방 또는 폐쇄)와 실질적으로 동시에 그리고/또는 이들 동안에, 제1 주 라인 밸브(126)를 개방할 수 있다. 따라서, 반응 챔버(150)로 가스의 흐름은 실질적으로 연속적일 수 있거나, 반응 챔버(150)로 가스 흐름의 임의의 변화가 최소화되거나 방지될 수 있다.
다양한 구현예에서, 제1 가스 및 제2 가스는 각각 제1 가스 공급원(103) 및 제2 가스 공급원(107)으로부터 연속적으로 흐를 수 있다. 따라서, 제1 주 라인 밸브(126), 제1 분기 라인 밸브(128), 제2 주 라인 밸브(136), 및/또는 제2 분기 라인 밸브(138)의 개방 또는 폐쇄의 변화만이, 제1 가스 공급원(103) 및 제2 가스 공급원(107)으로부터의 가스 흐름을 시작 및 정지, 또는 증가 및 감소시키는 것과 같은 추가적인 필요 동작보다는, 오히려 제1 가스 및 제2 가스의 흐름(예, 흐름 목적지 및/또는 유량)을 변화시키기 위해, 요구될 수 있다.
방법(400)과 관련하여 전술한 가스 공급 시스템(100)과 유사하게, 도 3에 나타낸 가스 공급 시스템(200)은 원하는 압력(예, 실질적으로 일정한 압력)을 내부에 유지하기 위해 반응 챔버(150)에 가스를 공급하도록 구성될 수 있다. 제1 가스 공급원(103)으로부터의 제1 가스는 반응물 가스일 수 있고, 제2 가스 공급원(107)으로부터의 제2 가스는 퍼지 가스(예, 질소 가스 또는 귀가스와 같은 비반응성 가스)일 수 있다. 그러나, 제1 및 제2 가스(또는 반응기 시스템 내의 추가 가스 공급원으로부터의 임의의 추가 가스)는 특정 공정 또는 응용에 적합한 임의의 가스일 수 있다.
도 4를 추가로 참조하면, 다양한 구현예에 따라, (가스 공급 시스템(200)에 적용된 바와 같이) 반응 챔버 내에서 원하는 압력을 유지하는 방법(400)은, 제1 가스 공급원(103)에서 반응 챔버(150)로 제1 가스 공급 경로(120)를 통해 제1 가스를 흐르게 하는 단계(402)를 포함할 수 있다. 그렇게 하기 위해, 제1 주 라인 밸브(126)는 적어도 부분적으로 개방될 수 있다. 제1 가스가 반응 챔버(150)에 공급되는 동안, 제2 가스 공급원(107)으로부터의 제2 가스는 배기 라인(160)으로 흐를 수 있다(단계(404)). 그렇게 하기 위해, 제2 분기 라인 밸브(138)는 적어도 부분적으로 개방될 수 있고 제2 주 라인 밸브(136)는 폐쇄될 수 있다.
제1 가스는, 임의의 적합한 패턴(예, 일정한 스트림, 펄스 등)으로 임의의 적합한 지속 시간 동안에 반응 챔버(150)로 공급될 수 있다. 마찬가지로, 제2 가스는, 임의의 적합한 패턴으로 임의의 적절한 지속 시간 동안에 배기 라인(160)에 공급될 수 있다.
반응 챔버(150) 내에 제1 가스를 포함한 처리 또는 증착 단계가 완료되는 것에 응답하여, 반응 챔버(150)로 제1 가스의 흐름은 중지되거나(단계(406)) 감소될 수 있다. 반응 챔버(150)로 제1 가스의 흐름을 감소시키거나 중지시키기 위해, 제1 주 라인 밸브(126)는 적어도 부분적으로 폐쇄될 수 있어서, 제1 가스가 흐름을 감소시키고/감소시키거나 제1 가스 주 라인(122)을 통해 흐를 수 없고/없거나 제1 가스 공급원으로부터의 제1 가스 흐름은 (예를 들어, MFC(112)를 통해) 감소하거나 중지될 수 있다. 예를 들어, 제1 가스 공급원(103)으로부터의 제1 가스의 흐름은, 가스 공급 시스템(100) 내의 제1 가스 흐름과 반대로 감소되거나 정지될 수 있고, 이는 연속적이고 반응 챔버(150)로의 흐름에서 배기 라인(160)으로의 흐름으로 유도될 수 있다. 다양한 구현예에서, 제1 주 라인 밸브(126)는 적어도 부분적으로 폐쇄되고/폐쇄되거나 제1 가스 공급원(103)으로부터의 제1 가스 흐름이 감소되거나 중지되어, 프로세서 및/또는 제어기로부터 (예를 들어, 제1 주 라인 밸브(126) 및/또는 MFC(112)로의) 명령에 응답하여 제1 가스 주 라인(122)을 통한 제1 가스의 흐름을 감소시키거나 중지시킬 수 있다.
반응 챔버(150) 내의 압력을 원하는 레벨로 유지하기 위해, 제1 가스가 더 이상 흐르지 않을 수 있거나 반응 챔버(150)로의 감소된 흐름을 가질 수 있기 때문에, 제2 가스는 반응 챔버(150)로 라우팅될 수 있다. 배기 라인(160)으로 제2 가스의 흐름은 중지되거나(단계(408)) 감소될 수 있다. 배기 라인(160)으로 제2 가스의 흐름을 감소시키거나 중지시키기 위해, 제2 분기 라인 밸브(138)는, 제2 가스가 제2 분기 라인 밸브(138)를 통해 흐름을 감소시키고/감소시키거나 흐를 수 없도록, 적어도 부분적으로 폐쇄될 수 있다. 제2 가스 분기 라인(134)을 통한 제2 가스의 흐름을 감소시키거나 중지시키기 위해 적어도 부분적으로 폐쇄되는 제2 분기 라인 밸브(138)에 응답하여, 제2 주 라인 밸브(136)는, 제2 가스를 반응 챔버(150)로 흐를 수 있도록 적어도 부분적으로 개방될 수 있다(단계 410). 다양한 구현예에서, 제2 가스로 하여금 반응 챔버(150)를 흐르게 하도록 개방된 제2 주 라인 밸브(136)에 응답하여, 제2 분기 라인 밸브(138)는 제2 가스 분기 라인(134)을 통한 제2 가스의 흐름을 감소시키거나 중지시키기 위해 적어도 부분적으로 폐쇄될 수 있다. 제2 분기 라인 밸브(138)의 적어도 부분적인 폐쇄, 및 제2 주 라인 밸브(136)의 적어도 부분적인 개방은 실질적으로 동시에 일어날 수 있다.
다양한 구현예에서, 제2 가스의 흐름을, 배기 라인(160)으로의 흐름에서 반응 챔버(150)로의 흐름으로 변경하는 것과 실질적으로 동시에 및/또는 변경하는 동안에, 제1 가스 공급원(103)에서 반응 챔버(150)로 제1 가스의 흐름을 감소시키거나 중지시킬 수 있다. 즉, 제2 분기 라인 밸브(138)의 폐쇄 및/또는 제2 주 라인 밸브(136)의 개방(및/또는 임의의 이러한 밸브의 부분적인 개방 또는 폐쇄)과 실질적으로 동시에 및/또는 이들 동안에, 반응 챔버(150)로 제1 가스 흐름을 감소시키거나 중지시킬 수 있다. 따라서, 반응 챔버(150)로 가스의 흐름은 연속적이고 실질적으로 일정할 수 있거나, 반응 챔버(150)로 가스 흐름의 임의의 변화가 최소화되거나 방지될 수 있다. 따라서, 반응 챔버(150) 내의 압력은 원하는 레벨로 유지될 수 있다(예, 실질적으로 일정함). 프로세서 및/또는 제어기는, 원하는 시간(들)에 제1 가스 흐름의 감소 또는 중지 및/또는 제1 주 라인 밸브(126), 제2 주 라인 밸브(136) 및/또는 제2 분기 라인 밸브(138)의 적어도 부분 개방 또는 폐쇄를 명령할 수 있고/있거나 달리 야기할 수 있다.
다양한 구현예에서, 반응 챔버(150)로 제1 가스의 흐름은, 제1 유량을 포함할 수 있고 반응 챔버(150) 내의 압력을 야기한다. 반응 챔버(150)로 제2 가스의 흐름은, 반응 챔버 내의 압력을 원하는 레벨(허용 가능한 최소 압력과 최대 압력 사이)로 유지하기 위해 제1 유량과 실질적으로 동일한 제2 유량을 포함할 수 있다. 따라서, 반응 챔버(150)로 흐르는 가스를 변화시키는 동안 일어날 수 있는 반응 챔버(150)의 압력 변동은, 최소화되거나 방지될 수 있다.
반응 챔버(150) 내의 기판에 제1 가스를 다시 적용하기 위해, 가스 공급 시스템(200)과 관련하여 사용되는 방법(400)의 단계는, 제2 주 라인 밸브(136)를 적어도 부분적으로 폐쇄하고/폐쇄하거나 제2 분기 라인 밸브(138)를 적어도 부분적으로 개방함으로써, 반응 챔버(150)로 제2 가스의 흐름을 감소시키거나 중지시키는 단계(416)를 추가로 포함할 수 있다. 이에 응답하여, 방법(400)은, 제1 가스를 반응 챔버(150)로 흐르게 하는 단계(402) 및 제2 가스를 배기 라인(160)으로 흐르게 하는 단계(404)로 다시 시작할 수 있다. 제1 가스는, 제1 주 라인 밸브(126)를 적어도 부분적으로 개방하고/개방하거나 (예를 들어, MFC(112)를 통해) 제1 가스 공급원(103)으로부터 제1 가스의 흐름을 시작함으로써, 반응 챔버(150)로 흐르기 시작할 수 있다. 다양한 구현예에서, 제2 가스의 흐름을, 반응 챔버(150)로의 흐름에서 배기 라인(160)으로의 흐름으로 변경하는 것과 실질적으로 동시에, 반응 챔버(150)로 제1 가스의 흐름을 시작할 수 있다. 즉, 제1 주 라인 밸브(126)의 개방, 제2 분기 라인 밸브(138)의 개방, 및/또는 제2 주 라인 밸브(136)의 폐쇄(및/또는 임의의 이러한 밸브의 부분적 개방 또는 폐쇄)와 실질적으로 동시에, 제1 가스 공급원(103)으로부터 반응 챔버로 제1 가스의 흐름을 시작할 수 있다. 따라서, 반응 챔버(150)로 가스의 흐름은 연속적일 수 있거나, 반응 챔버(150)로 가스 흐름의 임의의 변화가 최소화되거나 방지될 수 있다.
다양한 구현예에서, 제2 가스는 제2 가스 공급원(107)으로부터 연속적으로 흐를 수 있다. 따라서, 제2 주 라인 밸브(136) 및/또는 제2 분기 라인 밸브(138)의 개폐에서의 변화만이, 제2 가스 공급원(107)으로부터의 가스 흐름을 시작 및 정지 또는 증가 및 감소시키는 것과 같은 추가적인 필요 동작보다는, 제2 가스의 흐름(예, 흐름 목적지 및/또는 유량)을 변화시키기 위해 요구될 수 있다. 또한, 제2 가스 흐름의 변화(예, 배기 라인(160)으로의 제2 가스의 흐름에서 반응 챔버(150)로의 흐름으로의 변화, 또는 그 반대)는, 제1 가스의 흐름의 시작 또는 정지를 감지하는 것에 응답하여 일어날 수 있다. 즉, 프로세서 및/또는 제어기는 제1 가스 공급원(103)으로부터 흐르는 제1 가스의 적어도 부분적인 중지 또는 시작을 감지할 수 있고, 이에 응답하여, 프로세서 및/또는 제어기는 제1 가스의 흐름에 기초하여 제2 가스의 흐름의 적절한 변화를 야기할 수 있다. 예를 들어, 반응 챔버(150)로 제1 가스 흐름의 중지를 감지하는 것에 응답하여, 제2 가스의 흐름은 반응 챔버(150)로 유도될 수 있다. 반응 챔버(150)로 제1 가스 흐름의 시작을 감지하는 것에 응답하여, 제2 가스의 흐름은 적어도 부분적으로 배기 라인(160)으로 유도될 수 있다. 다양한 구현예에서, 제1 가스 흐름은, 제2 가스의 흐름 목적지의 변화를 감지하는 프로세서 및/또는 제어기에 기초하여 또는 이에 응답하여, 시작 및/또는 중지(또는 증가 및/또는 감소)될 수 있다. 예를 들어, 반응 챔버(150)로 제2 가스 흐름의 중지를 감지 및/또는 배기 라인(160)으로 흐름의 시작을 감지하는 것에 응답하여, 제1 가스의 흐름은 (예를 들어, 반응 챔버(150)로) 시작될 수 있다. 반응 챔버(150)로 흐르는 제2 가스 및/또는 배기 라인(160)으로의 흐름을 중지시키는 것을 감지하는 것에 응답하여, (예를 들어, 반응 챔버(150)로) 제1 가스의 흐름은 중지될 수 있다. 이러한 가스 흐름의 변화는 본원에서 논의된 바와 같이 실질적으로 동시에 일어날 수 있다.
방법(400)의 단계는, 방법을 구현하는 데 사용되는 가스 공급 시스템에 따라, 임의의 적절한 순서 및/또는 조합으로 완료될 수 있다(예를 들어, 가스 공급 시스템(100)과 가스 공급 시스템(200) 사이에 방법(400)의 상이한 단계 순서/조합이 사용될 수 있다).
다양한 구현예에서, 제1 가스 공급원(103) 및 제2 가스 공급원(107)으로부터 각각 제1 및 제2 가스의 유량은 MFC(112) 및 MFC(114)("MFC")에 의해 조절될 수 있다. MFC는 이를 통해 제1 및 제2 가스의 유량을 감지할 수 있고(MFC(112)는 제1 가스의 유량을 감지할 수 있고, MFC(114)는 제2 가스의 유량을 감지할 수 있음), 그리고 감지된 실제 유량과 원하는 유량 사이의 차이에 기초하여 (예를 들어, MFC에 포함된 밸브를 조절함으로써) 유량을 조절할 수 있다.
MFC(112 및 114)로부터의 유량은, 각각의 가스가 흐르는 가스 경로 내의 압력에 기초하거나 이에 응답할 수 있다. 예를 들어, 제1 가스 또는 제2 가스가 벤트 라인(140)을 통해 배기 라인(160)으로 흐르고(예, 두 개의 가스 중 하나가 반응 챔버(150)로 흐르는 동안에), 벤트 라인(140) 및/또는 배기 라인(160) 내에 압력 변화가 있는 경우에, 각각의 MFC(및/또는 이와 전자 통신하는 프로세서 및/또는 제어기)는 압력 변화를 보상하기 위해 제1 가스 또는 제2 가스의 흐름을 증가시키거나 감소시킬 수 있다. 유사하게, 제1 가스 또는 제2 가스가 반응 챔버(150)로 제1 가스 주 라인(122) 또는 제2 가스 주 라인(132) 각각(및 챔버 유입구 경로(152))을 통해 흐르고, 제1 가스 주 라인(122), 제2 가스 주 라인(132), 챔버 유입구 경로(152), 및/또는 반응 챔버(150)에 압력 변화가 있는 경우에, 각각의 MFC(및/또는 이와 전자 통신하는 프로세서 및/또는 제어기)는 압력 변화를 보상하기 위해 제1 가스 또는 제2 가스의 흐름을 증가시키거나 감소시킬 수 있다.
그러나, 제1 또는 제2 가스 중 하나에 대한 압력 및/또는 가스 흐름의 이러한 변화는, 반응 챔버(150)로 어느 가스가 흐르는 스위칭 동안에 반응 챔버(150)로 가스 흐름의 변동을 야기할 수 있고, 따라서 반응 챔버(150)에서 원하지 않는 압력 변동을 야기할 수 있다. 예를 들어, MFC(112)를 통한 제1 가스 및 MFC(114)를 통한 제2 가스의 가스 유량이 동일하나 그 다음 MFC(114)가 제2 가스의 유량을 변경하여 벤트 라인(140) 및/또는 배기 라인(160)에서 감지된 압력 변화를 보상하는 경우(제2 가스가 이를 통해 흐르는 동안)에, 제2 가스의 유량은 제1 가스의 유량과는 이제 상이하다. 따라서, 제1 가스가 반응 챔버(150)로 흐르는 것이 중지되고 제2 가스의 흐름이 배기 라인(160)으로의 흐름에서 반응 챔버(150)로의 흐름으로 전환되는 경우에, 제2 가스의 상이한 유량은 반응 챔버(150)에서 원하지 않는 압력 변동을 야기할 수 있다.
다양한 구현예에서, 벤트 라인(140)은 컨덕턴스 제어 밸브(158)를 포함할 수 있다. 컨덕턴스 제어 밸브(158)는 벤트 라인(140)에 결합되고 유체 결합될 수 있어서, 이를 통해 흐르는 제1 가스 또는 제2 가스가 또한 컨덕턴스 제어 밸브(158)를 통해 흐르도록 한다. 컨덕턴스 제어 밸브(158)는, 벤트 라인(140) 및/또는 배기 라인(160)을 통해 흐르는 가스의 실질적으로 일정한 유량을 유지하면서 벤트 라인(140) 및/또는 배기 라인(160) 내의 압력을 조절시키도록 구성될 수 있다. 따라서, MFC(112)를 통한 제1 가스의 유량 및 MFC(114)를 통한 제2 가스의 유량은 (예를 들어, 프로세서 및/또는 제어기에 의해 명령된 바와 같이) 실질적으로 일정할 수 있고, 벤트 라인(140) 및/또는 배기 라인(160) 내의 압력은 컨덕턴스 제어 밸브(158)를 통해 조절될 수 있다. 컨덕턴스 제어 밸브(158)는 니들 밸브, 스로틀 밸브 등과 같은 임의의 적합한 장치일 수 있다.
다양한 구현예에서, 가스 공급 시스템(100, 200)은 시스템(100, 200) 내의 압력을 모니터링하도록 구성된 압력 모니터(148)를 추가로 포함할 수 있다(방법(400)의 단계(418)). 예를 들어, 압력 모니터(148)는 벤트 라인(140)에 결합될 수 있고, 벤트 라인(140) 및/또는 배기 라인(160) 내의 압력을 모니터링하도록 구성될 수 있다. 압력 모니터(148)는 프로세서 및/또는 제어기를 포함하고/포함하거나 프로세서 및/또는 제어기와 전자 통신할 수 있다. 따라서, 다양한 구현예에서, 압력 모니터(148)는, 벤트 라인(140) 및/또는 배기 라인(160)에서의 압력 판독값을 프로세서 및/또는 제어기로 전송할 수 있다. 압력 모니터(148)는 임의의 원하는 시간, 임의의 원하는 지속 시간, 임의의 원하는 패턴(예, 초당 1회 판독 등)으로 압력 판독값을 취하고/취하거나 전송할 수 있다. 벤트 라인(140) 및/또는 배기 라인(160) 내의 원하는 압력은 (예를 들어, 사용자 입력에 의해 및/또는 특정 공정에 대한 조건의 일부로서) 프로세서 및/또는 제어기에 의해 결정될 수 있다. 프로세서 및/또는 제어기는 압력 모니터(148)로부터 압력 판독값을 수신하고 이를 원하는 압력과 비교할 수 있다. 감지된 실제 압력과 원하는 압력 사이의 차이(또는 허용 가능한 레벨 밖의 차이)를 감지하는 것에 응답하여, 프로세서 및/또는 제어기는 컨덕턴스 제어 밸브(158)에 명령하여, 원하는 압력 값에 더 가깝도록 적절하게 벤트 라인(140) 및/또는 배기 라인(160) 내의 압력을 조절할 수 있다. 이에 응답하여, 컨덕턴스 제어 밸브(158)는 벤트 라인(140) 및/또는 배기 라인(160) 내의 압력을 조절하여(방법(400)의 단계 420) 원하는 압력 값에 더 가깝거나 동일한 값을 달성하도록 조절(예, 추가 개방 또는 폐쇄)할 수 있다.
다양한 구현예에서, 가스 공급 시스템(100, 200) 내의 임의의 가스 경로는, 각각의 가스 경로 내의 압력을 조절할 수 있는 컨덕턴스 제어 밸브를 포함할 수 있다. 예를 들어, 제1 가스 주 라인(122), 제1 가스 분기 라인(124), 제2 가스 주 라인(132), 제2 가스 분기 라인(134), 및/또는 챔버 유입구 경로(152)는, 컨덕턴스 제어 밸브(158)와 유사한 컨덕턴스 제어 밸브를 포함할 수 있다. 프로세서 및/또는 제어기는, 임의의 또는 모든 이러한 컨덕턴스 제어 밸브와 전자 통신하고, 시스템(100, 200)의 각각의 가스 경로 내의 압력에 대한 컨덕턴스 제어 밸브로부터 압력 값을 수신하고, 이러한 압력 값을 각각의 가스 경로에 대한 원하는 압력 값과 비교할 수 있다. 시스템 내의 특정 가스 경로에 대한 측정된 압력 값과 원하는 압력 값 사이의 차이를 감지하는 것에 응답하여, 프로세서 및/또는 제어기는, 각각의 컨덕턴스 제어 밸브가 가스 경로 내의 압력을 각각의 원하는 압력과 같거나 더 가깝게 하도록 조절하도록 명령할 수 있다.
가스 공급 시스템(100 및/또는 200)을 사용하는 공정(예, 증착, 식각, 세정 및/또는 기타 등) 동안에, 벤트 라인(140) 내의 압력이 제1 가스 주 라인(122), 제2 가스 주 라인(132), 챔버 유입구 경로(152), 및/또는 반응 챔버(150) 내의 압력과 상당히 상이하면, 가스가 배기 라인(160)으로의 흐름에서 반응 챔버(150)로의 흐름으로 또는 그 반대로 전환할 때, 이를 통해 가스 유량이 달라질 수 있다. 본원에서 논의된 바와 같이, 이러한 가스 유량 변동은 (예를 들어, 가스가 반응 챔버(150)로 흐르는 전환 동안에) 반응 챔버(150) 내의 압력을 변동시킬 수 있다. 반응 챔버(150) 내의 이러한 압력 변동은 바람직하지 않을 수 있고, 결과에 부정적인 영향을 미칠 수 있다(예, 증착 공정 동안에 반응 챔버(150) 내의 기판 상에 재료의 증착).
따라서, 가스 공급 시스템 내의 컨덕턴스 제어 밸브(예, 컨덕턴스 제어 밸브(158))의 존재는, 시스템 내의 하나 이상의 가스 경로 내의 압력의 주기적인 및/또는 일정한 모니터링을 허용할 수 있다. 다양한 구현예에서, 이러한 모니터링은 실시간 또는 거의 실시간일 수 있다. 따라서, (예를 들어, 각각의 가스 경로 내의 오염물(예, 증착된 반응물, 부산물, 탈기된 재료 등)의 축적에 의해 야기된) 압력 변동이, (예를 들어, 컨덕턴스 제어 밸브의 조절을 통해) 감지되고 처리되어 신속하게 반응 챔버(150) 내의 임의의 최종 유량 및/또는 압력 변동을 완화시키거나 피하게 할 수 있다.
혜택 및 다른 이점은 특정 구현예와 관련하여 본원에서 설명되었다. 또한, 본원에 포함된 다양한 도면에서 나타낸 연결선은, 다양한 요소 사이의 예시적인 기능 관계 및/또는 물리적 결합을 표시하려는 의도이다. 많은 대안적 또는 추가적인 기능 관계 또는 물리적 연결은 실질적인 시스템에 존재할 수 있음을 주목해야 한다. 그러나, 혜택, 이점, 문제점에 대한 해결책, 및 임의의 혜택, 이점, 또는 해결책을 발생시키거나 더욱 두드러지게 할 수 있는 임의의 요소는, 본 개시의 중요하거나, 필요하거나, 또는 필수적인 특징부 또는 요소로 해석되어서는 안 된다. 따라서, 본 개시의 범주는 첨부된 청구범위 외의 어느 것에 의해 제한되도록 되어 있으며, 여기서 단수로 된 요소에 대한 언급은 명시적으로 언급되지 않는 한 "오직 하나만"을 의미하는 것이 아니라 오히려 "하나 이상"을 의미하도록 의도된다. 또한, "A, B, 또는 C 중 적어도 하나"와 유사한 문구가 청구범위에서 사용되는 경우, 상기 문구는 A가 단독으로 일 구현예에 존재할 수 있고, B가 단독으로 일 구현예에 존재할 수 있고, C가 단독으로 일 구현예에 존재할 수 있거나, 또는 A, B 및 C의 임의의 조합이 일 구현예에, 예를 들어 A와 B, A와 C, B와 C, 또는 A와 B와 C가 존재할 수 있음을 의미하는 것으로 해석되도록 의도된다.
시스템, 방법 및 장치가 본원에 제공된다. 본원의 상세한 설명에서, "일 구현예", "하나의 구현예", "예시적인 구현예" 등에 대한 언급은, 설명된 구현예가 특정 특징부, 구조 또는 특성을 포함할 수 있지만, 모든 구현예가 반드시 특정 특징부, 구조 또는 특성을 포함할 필요는 없음을 나타낸다. 또한, 이러한 문구는 반드시 동일한 구현예를 지칭하는 것은 아니다. 또한, 특정 특징부, 구조 또는 특성이 구현예와 관련하여 설명될 때, 명시적으로 설명되었는지 여부와 상관없이, 다른 구현예와 관련하여 이러한 특징부, 구조 또는 특징에 영향을 끼치는 것을 당업자가 알고 있음을 인정한다. 설명을 읽은 후, 대안적인 구현예에서 본 개시를 구현하는 방법이 당업자에게 명백할 것이다.
또한, 본 개시의 요소, 구성 요소, 또는 방법 단계는, 요소, 구성 요소, 또는 방법 단계가 청구범위에 명시적으로 언급되는지 여부에 관계없이 대중에게 전용되도록 의도되지 않는다. 본원에서의 어떠한 청구범위 요소도, 그 요소가 "그 수단"이라는 문구를 사용하여 명시적으로 인용되지 않는 한, 35 U.S.C. 112(f)의 조항에 따라 해석되지 않는다. 본원에서 사용되는 바와 같이, 용어 "포함하다", "포함하는" 또는 임의의 다른 변형은 비-배타적 포함을 포함하도록 의도되어, 요소 목록을 포함하는 공정, 방법, 물품 또는 장치는 그 요소만을 포함하지 않고, 명시적으로 열거되지 않거나 이러한 공정, 방법, 물품 또는 장치에 내재되어 있지 않은 다른 요소를 포함할 수 있다.

Claims (20)

  1. 반응기 시스템으로서,
    제1 가스 공급원;
    상기 제1 가스 공급원에 유체 결합된 제1 가스 공급 경로;
    제2 가스 공급원;
    상기 제2 가스 공급원에 유체 결합된 제2 가스 공급 경로;
    상기 제1 가스 공급 경로와 상기 제2 가스 공급 경로에 유체 결합되는 반응 챔버로서, 상기 제1 가스 공급 경로는 제1 가스 공급 경로 말단부에서 상기 반응 챔버에 유체 결합되고, 상기 제2 가스 공급 경로는 제2 가스 공급 경로 말단부에서 상기 반응 챔버에 유체 결합되고,
    제1 가스는 상기 제1 가스 공급원으로부터 상기 반응 챔버에 공급되고, 제2 가스는 상기 제2 가스 공급원으로부터 상기 반응 챔버에 공급되어 반응 챔버 압력의 안정성을 달성하는, 반응 챔버;
    상기 반응 챔버에 유체 결합되고 이로부터 하류에 있는 배기 라인;
    상기 제1 가스 공급 경로와 상기 제2 가스 공급 경로 중 적어도 하나에, 그리고 상기 배기 라인에 유체 결합되고, 상기 반응 챔버를 우회하는 벤트 라인;
    상기 벤트 라인에 결합되고 상기 벤트 라인 내의 벤트 라인 압력을 모니터링하도록 구성된 압력 모니터; 및
    상기 벤트 라인에 결합되고 상기 압력 모니터로부터의 피드백에 응답하여 조절하도록 구성된 벤트 라인 컨덕턴스 제어 밸브를 포함하는, 반응기 시스템.
  2. 제1항에 있어서, 상기 반응 챔버는, 챔버 유입구 경로를 통해 상기 제1 가스 공급 경로와 상기 제2 가스 공급 경로에 유체 결합되며, 상기 제1 가스 공급 경로는 상기 제1 가스 공급 경로 말단부에서 상기 챔버 유입구 경로에 유체 결합되고, 상기 제2 가스 공급 경로는 상기 제2 가스 공급 경로 말단부에서 상기 챔버 유입구 경로에 유체 결합되는, 반응기 시스템.
  3. 제1항에 있어서, 상기 제1 가스 공급 경로는 제1 가스 주 라인과 제1 가스 분기 라인을 포함하며, 상기 제1 가스 주 라인은 상기 반응 챔버와 유체 연통하고, 상기 제1 가스 분기 라인은 상기 벤트 라인과 유체 연통하는, 반응기 시스템.
  4. 제3항에 있어서, 상기 제2 가스 공급 경로는 제2 가스 주 라인과 제2 가스 분기 라인을 포함하며, 상기 제2 가스 주 라인은 상기 반응 챔버와 유체 연통하고, 상기 제2 가스 분기 라인은 상기 벤트 라인과 유체 연통하는, 반응기 시스템.
  5. 제4항에 있어서, 상기 제1 가스 주 라인은, 상기 반응 챔버의 상류와 상기 제1 가스 분기 라인의 하류에 배치된 제1 주 라인 밸브를 포함할 수 있고, 상기 제1 가스 분기 라인은 제1 분기 라인 밸브를 포함하고,
    상기 제2 가스 주 라인은 상기 반응 챔버의 상류와 상기 제2 가스 분기 라인의 하류에 배치된 제2 주 라인 밸브를 포함하고, 상기 제2 가스 분기 라인은 제2 분기 라인 밸브를 포함하고, 상기 제1 주 라인 밸브, 상기 제2 주 라인 밸브, 상기 제1 분기 라인 밸브, 및 상기 제2 분기 라인 밸브는, 상기 제1 가스 주 라인, 제2 가스 주 라인, 상기 제1 가스 분기 라인, 상기 제2 가스 분기 라인 각각을 통한 가스 흐름을 적어도 부분적으로 증가시키거나 감소시키도록 구성되는, 반응기 시스템.
  6. 제4항에 있어서,
    상기 압력 모니터 및 상기 벤트 라인 컨덕턴스 제어 밸브와 전자 통신하는 프로세서; 및
    상기 프로세서와 통신하도록 구성된 유형적이고 비일시적 메모리를 추가로 포함하되, 상기 유형적이고 비일시적 메모리는 상기 프로세서에 의한 실행에 응답하여 상기 프로세서로 하여금 다음의 단계를 수행시키는 명령어를 저장하고, 상기 다음 단계는,
    상기 압력 모니터에 의해, 상기 벤트 라인 내의 벤트 라인 압력을 모니터링하는 단계;
    상기 프로세서에 의해, 상기 벤트 라인 압력의 변화를 감지하는 단계; 및
    상기 프로세서에 의해, 상기 벤트 라인 컨덕턴스 제어 밸브의 조절을 명령해서, 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를, 상기 벤트 라인 압력의 변화를 감지하는 것에 응답하여 더 개방시키거나 더 폐쇄시키는 단계를 포함하는, 반응기 시스템.
  7. 제6항에 있어서, 상기 벤트 라인 압력의 변화를 감지하는 단계는, 상기 반응 챔버 내의 반응 챔버 압력과 상기 벤트 라인 압력의 차이를 감지하는 단계를 포함하고, 상기 벤트 라인 컨덕턴스 제어 밸브에 의한 조절은 상기 벤트 라인 압력과 상기 반응 챔버 압력이 덜 상이하도록 구성되는, 반응기 시스템.
  8. 상기 반응 챔버가 원하는 반응 챔버 압력 레벨을 포함하도록, 제1 가스 공급원으로부터 반응 챔버로 제1 가스를 흐르게 하는 단계;
    상기 제1 가스 공급원으로부터 상기 반응 챔버로 상기 제1 가스가 흐르는 동안, 제2 가스 공급원으로부터 상기 반응 챔버의 하류에 있는 배기 라인으로 벤트 라인을 통해 제2 가스를 흐르게 하는 단계로서, 상기 벤트 라인은 상기 제2 가스 공급원 및 상기 배기 라인과 유체 연통하고 상기 반응 챔버를 우회하는, 단계;
    상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키는 단계;
    상기 배기 라인으로 상기 제2 가스의 흐름을 적어도 감소시키거나 적어도 중지시키는 단계;
    상기 반응 챔버가 상기 원하는 반응 챔버 압력 레벨을 유지하도록, 상기 배기 라인으로 상기 제2 가스의 흐름을 적어도 감소시키거나 적어도 중지시키는 단계에 응답하여 상기 제2 가스를 상기 반응 챔버로 흐르게 하는 단계;
    상기 벤트 라인에 결합된 압력 모니터를 통해, 상기 벤트 라인 내의 벤트 라인 압력을 모니터링하는 단계; 및
    상기 압력 모니터에 의해 감지된 상기 벤트 라인 압력에 기초하여 상기 벤트 라인에 결합된 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계를 포함하는, 방법.
  9. 제8항에 있어서, 상기 압력 모니터는 프로세서를 통해 상기 벤트 라인 컨덕턴스 제어 밸브와 전자 통신하며, 상기 프로세서는 상기 압력 모니터로부터 압력 피드백을 수신하고, 상기 벤트 라인 컨덕턴스 제어 밸브에 명령을 전송해서 상기 벤트 라인 컨덕턴스 제어 밸브를 조절시키는, 방법.
  10. 제8항에 있어서, 상기 반응 챔버로 제1 가스의 흐름을 중지시키면서, 상기 배기 라인으로 제2 가스의 흐름을 적어도 감소 또는 중지시키는, 방법.
  11. 제10항에 있어서, 상기 제2 가스 공급원으로부터 상기 벤트 라인을 통해 상기 배기 라인으로 상기 제2 가스를 흐르게 하는 단계는, 제2 가스 공급 경로를 통해 상기 제2 가스를 흐르게 하는 단계를 포함하고, 상기 제2 가스 공급 경로는 제2 가스 주 라인과 제2 가스 분기 라인을 포함하고, 상기 제2 가스 주 라인은 상기 반응 챔버와 유체 연통하고, 상기 제2 가스 분기 라인은 상기 벤트 라인과 유체 연통하고,
    상기 제2 가스 분기 라인에 결합된 제2 분기 라인 밸브를 적어도 부분적으로 폐쇄하는 것에 응답하여, 상기 배기 라인으로 상기 제2 가스의 흐름을 적어도 감소 또는 중지시키고,
    상기 제2 가스 분기 라인의 하류에 있는 상기 제2 가스 주 라인에 결합된 제2 주 라인 밸브를 적어도 부분적으로 개방하는 것에 응답하여, 상기 제2 가스를 상기 반응 챔버로 흐르게 하는, 방법.
  12. 제8항에 있어서,
    상기 벤트 라인 압력의 증가를 감지하는 단계로서,
    상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는 상기 벤트 라인을 통한 흐름을 덜 허용하도록 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 폐쇄시키는 단계를 포함하는 단계; 또는
    상기 벤트 라인 압력의 감소를 감지하는 단계로서,
    상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는 상기 벤트 라인을 통한 흐름을 더 허용하도록 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 개방시키는 단계를 포함하는 단계 중 적어도 하나를 추가로 포함하는, 방법.
  13. 상기 반응 챔버가 원하는 반응 챔버 압력 레벨을 포함하도록, 제1 가스 공급원으로부터 반응 챔버로 제1 가스를 흐르게 하는 단계;
    상기 제1 가스 공급원으로부터 상기 반응 챔버로 상기 제1 가스가 흐르는 동안, 제2 가스 공급원으로부터 상기 반응 챔버의 하류에 있는 배기 라인으로 벤트 라인을 통해 제2 가스를 흐르게 하는 단계로서, 상기 벤트 라인은 상기 제2 가스 공급원 및 상기 배기 라인과 유체 연통하고 상기 반응 챔버를 우회하는, 단계;
    상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키는 단계;
    상기 배기 라인으로 상기 제2 가스의 흐름을 적어도 감소시키거나 적어도 중지시키는 단계;
    상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키는 것에 응답하여 상기 벤트 라인을 통해 상기 배기 라인으로 상기 제1 가스를 흐르게 하는 단계로서, 상기 배기 라인은 상기 제1 가스 공급원과 유체 연통하는, 단계;
    상기 반응 챔버가 상기 원하는 반응 챔버 압력 레벨을 유지하도록, 상기 배기 라인으로 상기 제2 가스의 흐름을 적어도 감소시키거나 중지시키는 단계에 응답하여, 상기 제2 가스를 상기 반응 챔버로 흐르게 하는 단계;
    상기 벤트 라인에 결합된 압력 모니터를 통해, 상기 벤트 라인 압력을 모니터링하는 단계; 및
    상기 압력 모니터에 의해 감지된 상기 벤트 라인 압력에 기초하여 상기 벤트 라인에 결합된 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계를 포함하는, 방법.
  14. 제13항에 있어서, 상기 반응 챔버로 제1 가스의 흐름을 중지시키면서, 상기 배기 라인으로 제2 가스의 흐름을 적어도 감소 또는 중지시키는, 방법.
  15. 제14항에 있어서, 상기 배기 라인으로 상기 제1 가스를 흐르게 하면서, 상기 반응 챔버로 상기 제2 가스를 흐르게 하는, 방법.
  16. 제15항에 있어서, 상기 제1 가스 공급원으로부터 상기 반응 챔버로 상기 제1 가스를 흐르게 하는 단계는, 제1 가스 공급 경로를 통해 상기 제1 가스를 흐르게 하는 단계를 포함하고, 상기 제1 가스 공급 경로는 제1 가스 주 라인과 제1 가스 분기 라인을 포함하고, 상기 제1 가스 주 라인은 상기 반응 챔버와 유체 연통하고, 상기 제1 가스 분기 라인은 상기 벤트 라인과 유체 연통하고,
    상기 제1 가스 분기 라인의 하류에 있는 상기 제1 가스 주 라인에 결합된 제1 주 라인 밸브를 폐쇄하는 것에 응답하여, 상기 반응 챔버로 상기 제1 가스의 흐름을 중지시키고,
    상기 제1 가스 분기 라인에 결합된 제1 분기 라인 밸브를 개방하는 것에 응답하여, 상기 벤트 라인을 통해 상기 배기 라인으로 상기 제1 가스를 흐르게 하는, 방법.
  17. 제16항에 있어서, 상기 제2 가스 공급원으로부터 상기 벤트 라인을 통해 상기 배기 라인으로 상기 제2 가스를 흐르게 하는 단계는, 제2 가스 공급 경로를 통해 상기 제2 가스를 흐르게 하는 단계를 포함하고, 상기 제2 가스 공급 경로는 제2 가스 주 라인과 제2 가스 분기 라인을 포함하고, 상기 제2 가스 주 라인은 상기 반응 챔버와 유체 연통하고, 상기 제2 가스 분기 라인은 상기 벤트 라인과 유체 연통하고,
    상기 제2 가스 분기 라인에 결합된 제2 분기 라인 밸브를 적어도 부분적으로 폐쇄하는 것에 응답하여, 상기 배기 라인으로 상기 제2 가스의 흐름을 적어도 감소 또는 중지시키고,
    상기 제2 가스 분기 라인의 하류에 있는 상기 제2 가스 주 라인에 결합된 제2 주 라인 밸브를 적어도 부분적으로 개방하는 것에 응답하여, 상기 제2 가스를 상기 반응 챔버로 흐르게 하는, 방법.
  18. 제13항에 있어서,
    상기 벤트 라인 압력의 감소를 감지하는 단계로서,
    상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는 상기 벤트 라인을 통한 흐름을 더 허용하도록 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 개방시키는 단계를 포함하는 단계; 또는
    상기 벤트 라인 압력의 증가를 감지하는 단계로서,
    상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는 상기 벤트 라인을 통한 흐름을 덜 허용하도록 상기 벤트 라인 컨덕턴스 제어 밸브의 밸브 위치를 더 폐쇄시키는 단계를 포함하는 단계 중 적어도 하나를 추가로 포함하는, 방법.
  19. 제18항에 있어서, 상기 벤트 라인 압력의 감소를 감지하는 단계 및 상기 벤트 라인 압력의 증가를 감지하는 단계 중 적어도 하나는, 상기 반응 챔버 내의 반응 챔버 압력에 대한 상기 벤트 라인 압력의 차이를 감지하는 단계를 포함하는, 방법.
  20. 제19항에 있어서, 상기 벤트 라인 컨덕턴스 제어 밸브를 조절하는 단계는, 상기 벤트 라인 압력을 상기 반응 챔버 압력과 덜 상이하게 하는, 방법.
KR1020210002336A 2020-01-23 2021-01-08 반응 챔버 압력을 안정화하기 위한 시스템 및 방법 KR20210095798A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062964886P 2020-01-23 2020-01-23
US62/964,886 2020-01-23

Publications (1)

Publication Number Publication Date
KR20210095798A true KR20210095798A (ko) 2021-08-03

Family

ID=76878471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210002336A KR20210095798A (ko) 2020-01-23 2021-01-08 반응 챔버 압력을 안정화하기 위한 시스템 및 방법

Country Status (5)

Country Link
US (1) US20210230746A1 (ko)
JP (1) JP2021118355A (ko)
KR (1) KR20210095798A (ko)
CN (1) CN113151810A (ko)
TW (1) TW202135206A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023200142A1 (ko) * 2022-04-12 2023-10-19 주식회사 에이치피에스피 고압 기판 처리 장치 및 그를 이용한 기판용 고압 화학적 기상 증착 방법

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113701050B (zh) * 2021-09-01 2022-12-23 广州粤芯半导体技术有限公司 供气系统及离子源的供气方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100282853B1 (ko) * 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
JP4423914B2 (ja) * 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20060063188A (ko) * 2004-12-07 2006-06-12 삼성전자주식회사 화학기상증착장치 및 그를 이용한 화학기상증착방법
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP6037707B2 (ja) * 2012-08-07 2016-12-07 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の診断方法
JP6814561B2 (ja) * 2016-07-07 2021-01-20 昭和電工株式会社 ガス配管システム、化学気相成長装置、成膜方法及びSiCエピタキシャルウェハの製造方法
JP6869765B2 (ja) * 2017-03-23 2021-05-12 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
JP6971805B2 (ja) * 2017-11-28 2021-11-24 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US20220328288A1 (en) * 2020-05-15 2022-10-13 Hitachi High-Tech Corporation Inspection method of plasma processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023200142A1 (ko) * 2022-04-12 2023-10-19 주식회사 에이치피에스피 고압 기판 처리 장치 및 그를 이용한 기판용 고압 화학적 기상 증착 방법

Also Published As

Publication number Publication date
JP2021118355A (ja) 2021-08-10
TW202135206A (zh) 2021-09-16
US20210230746A1 (en) 2021-07-29
CN113151810A (zh) 2021-07-23

Similar Documents

Publication Publication Date Title
KR20210095798A (ko) 반응 챔버 압력을 안정화하기 위한 시스템 및 방법
US20230313377A1 (en) Gas distribution system and reactor system including same
JP4772246B2 (ja) 蒸気圧の低い前駆体用のガス供給装置
KR20190128562A (ko) 박막 형성 방법 및 기판 처리 장치
US20050221004A1 (en) Vapor reactant source system with choked-flow elements
TWI488993B (zh) 用於具有恆定氣體流量之氣體面板的方法及裝置
US11814727B2 (en) Systems and methods for atomic layer deposition
US20150284848A1 (en) Method for Stabilizing Reaction Chamber Pressure
WO2013008372A1 (ja) 半導体製造装置の原料ガス供給装置
KR20120028305A (ko) 기판상에 박막을 성장시키는 방법 및 장치
JP2009076807A (ja) 半導体製造装置用ガス供給装置
JPS62273714A (ja) 有機金属ガス供給方法および装置
KR20180105587A (ko) 기판 처리 장치 및 기판 처리 방법
US11891693B2 (en) Systems and methods for controlling vapor phase processing
CN111560605A (zh) 原子层沉积设备的前驱体传输装置和前驱体传输方法
CN111394789A (zh) 化学气相沉积设备的进气结构、进气方法及设备
US11413556B2 (en) Reducing or eliminating liquid de-gassing
JP2011003599A (ja) 半導体製造装置および半導体製造方法
US20230029724A1 (en) System and method for monitoring precursor delivery to a process chamber
WO2021060116A1 (ja) ガス供給装置及びガス供給方法
KR100709035B1 (ko) 박막증착장치용 직접액체분사시스템
JP4457119B2 (ja) 有機金属気化供給装置、有機金属気相成長装置、および有機金属気相成長方法
JPH05315264A (ja) 液体材料の気化供給方法及びその装置並びに化学気相成長システム
JPH076964A (ja) 半導体の製造方法
JPH11176754A (ja) 半導体気相成長装置及びそのガスの供給方法