TW201346972A - 電漿處理裝置及電漿處理方法 - Google Patents

電漿處理裝置及電漿處理方法 Download PDF

Info

Publication number
TW201346972A
TW201346972A TW102103767A TW102103767A TW201346972A TW 201346972 A TW201346972 A TW 201346972A TW 102103767 A TW102103767 A TW 102103767A TW 102103767 A TW102103767 A TW 102103767A TW 201346972 A TW201346972 A TW 201346972A
Authority
TW
Taiwan
Prior art keywords
plasma
value
unit
plasma processing
processing apparatus
Prior art date
Application number
TW102103767A
Other languages
English (en)
Inventor
Toshikazu Akimoto
Hiroshi Kannan
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201346972A publication Critical patent/TW201346972A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0012Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry
    • H05H1/0037Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature using electromagnetic or particle radiation, e.g. interferometry by spectrometry
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/461Microwave discharges
    • H05H1/463Microwave discharges using antennas or applicators

Abstract

本發明旨在提供一種電漿處理裝置及電漿處理方法,在1次單片電漿處理中細膩抑制電漿製程之不穩定或差異。其中OES量測部110在各步驟結束時或結束後馬上輸出分光量測值MOESi。CD推定部140使用自推定模型記憶部142導入之CD推定模型AMi與分光量測值MOESi求取各步驟分之CD推定值ACDi。製程控制部132於下一步驟中,除自配方記憶部136導入之下一步驟分之製程條件設定值PCi+1,及自控制模型記憶部138導入之下一步驟分之製程控制模型CMi+1外,更將自CD推定部140接收之先前步驟分之CD推定值ACDi用於控制對象130之自動控制。

Description

電漿處理裝置及電漿處理方法
本發明係關於使用APC之電漿處理裝置及電漿處理方法。
現今,用於製造半導體元件或平面顯示器(FPD)等之電漿處理裝置隨著元件微細化與基板大型化其製程窗口日益變得狹窄,另一方面業界卻要求生產力及裝置性能更加提升。
在如此之背景中,即使重複相同製程亦可每次皆獲得相同製程結果之製程控制,亦即無不穩定或差異之製程控制之手法逐漸被導入電漿處理裝置。此種製程控制中作為對象之差異內,就原因面而言有製程條件之漂移或裝置間或腔室間機器間之差異等,就現象面而言有批次間差異或批次內差異、且有清洗或季化前後差異等。
作為抑制如此之差異之對策,最初採用一手法,在所賦予之指示用來處理單片電漿之製程條件與順序之配方中預先設定依批次單位或晶圓單位依序修正特定製程條件之比例(修正量),參照其配方表並同時實行製程。然而,此手法設定於配方表採用之修正量為固定值,故對各種干擾缺乏適應性與適當性,難以細膩控制會不穩定之電漿製程。
在此,最近採用藉由反饋控制或前饋控制抑制製程變動之APC(Advanced Process Control)技術之電漿處理裝置增加。特別是作為影響MOS電晶體特性之最重要參數之閘電極寬稱為閘極CD(Critical Dimension),閘極蝕刻用電漿蝕刻裝置中搭載有用來抑制閘極CD差異之APC者逐漸增加。
用於電漿處理裝置之習知之APC於1次單片電漿處理中藉由In-Situ感測器監視處理中之裝置狀態,在處理結束後使用加工結果推定模型以In-Situ感測器之測定值為基礎推定製程結果(例如CD值)。又,對應製程結果之推定值與目標值之偏差,於下一次單片電漿處理中修正製程條件值,俾其偏差趨近零(例如專利文獻1)。或是亦有人提倡下列手法:具有用來將相對於製程結果目標值之最佳製程條件值加以運算之最佳配方計算模型,不修正製程條件值,代之以對應偏差修正最佳配方計算模型(例如專利文獻2)。
【先前技術文獻】
【專利文獻】
專利文獻1:日本特開2003-17471號公報
專利文獻2:日本特開2004-119753號公報
如上述,電漿處理裝置中習知之APC係以單片電漿處理單位亦即晶圓單位進行反饋控制或是前饋控制之所謂Run-to-Run方式,非針對1片晶圓於1次單片電漿處理中進行反饋控制或是前饋控制之即時方式。因此,無法對應於1次單片電漿處理中切換製程條件或配方之應用。因此,例如於在複數步驟連續地對多層膜進行蝕刻加工之多層光阻法中無法細膩抑制CD的不穩定或差異。
鑑於如上述之習知技術之問題點,本發明提供一種電漿處理裝置及電 漿處理方法,可藉由於1次單片電漿處理中作用之APC細膩抑制電漿製程之不穩定或差異。
特別是本發明提供一種附有APC功能之電漿處理裝置及電漿處理方法,可適當適用於將1次單片電漿處理分割為配方不同之複數步驟之多步驟方式。
本發明第1觀點中之電漿控制裝置將針對1片被處理基板之電漿處理分割為複數步驟,於各步驟逐一獨立設定製程條件,其特徵在於包含:處理容器,以可置入取出之方式收納該基板並可真空排氣;電漿產生部,於各步驟逐一按照該製程條件在該處理容器內產生處理氣體之電漿;目標值設定部,就既定製程結果於各步驟逐一設定目標值;電漿量測部,將於該處理容器內所產生之電漿之發光加以分光量測;製程結果推定部,在各步驟結束後,依自該電漿量測部所獲得之分光量測值推定該步驟中該製程結果之值;及製程控制部,於各步驟之下一步驟,根據由該目標值設定部所賦予之下一步驟分之該製程結果目標值與由該製程結果推定部所賦予之各步驟分之該製程結果推定值,作為製程參數調整該製程條件中至少1者。
本發明第1觀點中之電漿控制方法將針對1片被處理基板之電漿處理分割為複數步驟,於各步驟逐一獨立設定製程條件,其特徵在於包含下列程序:就既定製程結果於各步驟逐一設定目標值;於各步驟逐一按照該製程條件,在以可置入取出之方式收納基板之處理容器內產生處理氣體之電漿;將於該處理容器內所產生之電漿之發光加以分光量測,求取分光量測值;於各步驟結束後,自該分光量測值推定該步驟中該製程結果之值;於各步驟之下一步驟,根據該下一步驟分之該製程結果目標值與各步驟分之該製程結果推定值,作為製程參數調整該製程條件中至少1者。
上述第1觀點中,完全以步驟單位進行製程結果之目標值之設定、電漿發光之分光量測、製程結果之值之推定及製程參數之調整,故可構築在1次單片電漿處理中進行步驟間控制之APC。
本發明第2觀點中之電漿控制裝置包含:處理容器,以可置入取出之方式收納該基板並可真空排氣;製程條件設定部,將用來進行針對1片被處理基板之電漿處理之製程條件加以設定;電漿產生部,按照該製程條件於該處理容器內產生處理氣體之電漿;目標值設定部,就既定製程結果設定目標值;電漿量測部,將於該處理容器內所產生之電漿之發光加以分光量測,每隔一定時間計算分光量測值;製程結果預測部,每隔一定時間依自該電漿量測部所獲得之分光量測值預測該製程結果之值;及製程控制部,根據由該目標值設定部所賦予之該製程結果目標值與每隔一定時間由該製程結果預測部所賦予之該製程結果預測值,作為製程參數調整該步驟內該製程條件中至少1者。
上述第2觀點中,所有製程結果之目標值之設定,電漿發光之分光量測,製程結果之值之預測及製程參數之調整皆每隔一定時間進行,故可構築進行即時控制之APC。
本發明中,所謂即時控制非意指高速進行處理之系統,而係配合經決定之時間做出結果,被要求關於時間之限制條件之控制。在此,所謂經決定之時間意指批次單位、晶圓單位、配方單位、配方內步驟單位、秒單位、毫秒單位等。
依本發明之電漿處理裝置或電漿處理方法,藉由如上述之構成及作用,可以在1次單片電漿處理中作用之APC細膩抑制電漿製程之不穩定或 差異,特別是於多步驟方式中造成的優點大。
A、B、C‧‧‧叢集工具
APM1、BPM1、BPM2、CPM1、CPM2、PM1、PM2、PM3、PM4‧‧‧製程模組
CR‧‧‧晶圓匣盒
cw‧‧‧冷卻水
DVc、DVd‧‧‧縱切閥
Fa、Fb、Fc、Fd‧‧‧運送臂
GV1、GV2、GV3、GV4、GVa、GVb‧‧‧閘閥
LLMa、LLMb‧‧‧真空預備模組
LM‧‧‧裝載機運送室(裝載機模組)
LP‧‧‧載入埠
ORT‧‧‧對準機構
PH‧‧‧真空運送室
W‧‧‧半導體晶圓
10‧‧‧真空腔室
12‧‧‧基座
14、16‧‧‧筒狀支持部
18‧‧‧排氣通道
20‧‧‧擋板
22‧‧‧排氣埠
24‧‧‧排氣管
26‧‧‧排氣裝置
27‧‧‧送入送出口
28‧‧‧閘閥
30‧‧‧高頻電源
32‧‧‧匹配器單元
34‧‧‧供電棒
36‧‧‧靜電吸盤
36a‧‧‧電極
38‧‧‧對焦環
40‧‧‧高壓直流電源
42‧‧‧開關
43‧‧‧被覆線
44‧‧‧冷媒流路
46、48‧‧‧配管
50‧‧‧氣體供給管
52‧‧‧介電質窗
54‧‧‧狹縫板
55‧‧‧輻射狀槽孔天線
56‧‧‧介電質板
58‧‧‧微波傳送線路
60‧‧‧微波產生器
62‧‧‧波導管
64‧‧‧波導管-同軸管轉換器
66‧‧‧同軸管
68‧‧‧內部導體
70‧‧‧外部導體
72‧‧‧冷卻套板
74‧‧‧流路
76、78‧‧‧配管
80‧‧‧上部氣體導入部
82‧‧‧側部氣體導入部
84‧‧‧中空氣體流路
86‧‧‧處理氣體供給源
88‧‧‧第1氣體供給管
90‧‧‧注入器
92‧‧‧MFC(質量流量控制器)
94‧‧‧開合閥
96‧‧‧緩衝室
98‧‧‧側壁氣體噴出口
100‧‧‧第2氣體供給管
102‧‧‧MFC
104‧‧‧開合閥
106‧‧‧光感測器
107‧‧‧光纖
108‧‧‧OES運算部
110‧‧‧OES量測部
112‧‧‧主控制部
114‧‧‧被加工膜(多結晶Si膜)
116‧‧‧SiN層
118、118a、118b‧‧‧有機膜
120‧‧‧抗反射膜
122‧‧‧光阻
130‧‧‧控制對象(蝕刻製程)
132‧‧‧製程控制部
134‧‧‧CD目標值設定部
136‧‧‧配方記憶部
138‧‧‧控制模型記憶部
140‧‧‧CD推定部
142‧‧‧推定模型記憶部
144‧‧‧判定部
146‧‧‧程序控制部
150‧‧‧CD預測部
152‧‧‧預測模型記憶部
202‧‧‧真空預備室
204‧‧‧真空運送機械臂
206‧‧‧運送控制部
208‧‧‧製程結果測定部
210‧‧‧大氣運送機械臂
212‧‧‧線性馬達
214‧‧‧線性導件
216‧‧‧LP門
S1~S10、A1~A6、B1~B6‧‧‧步驟
圖1係顯示本發明中電漿處理裝置可適用之叢集工具方式真空處理裝置佈局之圖。
圖2係顯示作為製程模組可搭載於圖1叢集工具方式真空處理裝置之微波電漿處理裝置構成之圖。
圖3(A)~(D)係顯示於上述微波電漿處理裝置可實施之多層光阻法一例之圖。
圖4係顯示於上述多層光阻法蝕刻加工時使用之配方一例之圖。
圖5(A)~(D)係顯示於上述多層光阻法蝕刻加工時於各步驟逐一設定CD目標值之例圖。
圖6係顯示搭載於上述微波電漿處理裝置之APC機構之適當一實施例之方塊圖。
圖7係顯示圖6APC機構主要處理順序之流程圖。
圖8係以表顯示實施例中於每一步驟切換電漿條件設定值、CD目標值、製程控制模型及CD推定值之構造圖。
圖9A係顯示製程參數與CD實測值之間之相關關係(第1函數)圖。
圖9B係顯示製程參數與分光量測值之間之相關關係(第2函數)圖。
圖9C係顯示分光量測值與CD實測值之間之相關關係(第3函數)圖。
圖10係顯示藉由PLSR法構築實施例之CD推定模型之順序之流程圖。
圖11係顯示自OES量測部獲得之OES資料(分光量測值)3維頻譜圖。
圖12係顯示於某時點之OES頻譜圖。
圖13係顯示特定波長頻譜在時間軸上的變化的描繪圖。
圖14係顯示使用PLSR之CD推定模型獲得CD推定值之在線上的信號處理順序之流程圖。
圖15係CD推定值與CD實測值之描繪圖。
圖16係描繪圖15之資料之散布圖。
圖17係顯示搭載於上述微波電漿處理裝置之APC機構適當之另一實 施例之方塊圖。
圖18係顯示圖17之APC機構主要處理順序之流程圖。
以下,參照附圖說明關於本發明適當實施形態。
【實施形態中之多腔室系統】
圖1顯示作為可適用本發明中之電漿處理裝置之多腔室系統一構成例之叢集工具方式真空處理裝置。此真空處理裝置設置於無塵室內,在沿裝置近深方向延伸之略五角形狀之作業平台或真空運送室PH周圍呈叢集狀配置有例如4台製程模組PM1、PM2、PM3、PM4與2台真空預備模組LLMa、LLMb
更詳細而言,真空運送室PH中,真空運送室PH於圖左側長邊經由閘閥GV1、GV2分別連結2台製程模組PM1、PM2,真空運送室PH於圖右側長邊經由閘閥GV3、GV4分別連結2台製程模組PM3、PM4,真空運送室PH於圖下側呈八字形延伸之一對短邊經由閘閥GVa、GVb分別連結真空預備模組LLMa、LLMb
製程模組PM1、PM2、PM3、PM4具有藉由未圖示之各專用排氣裝置使室內在可變之壓力下經常保持於減壓狀態之真空腔室10,具有代表性的有例如在配置於室內中央部之載置台或基座(未經圖示)上載置1片被處理基板例如半導體晶圓W,使用既定設施(處理氣體、電力、減壓等)進行所希望之單片電漿處理,例如乾蝕刻加工、CVD(Chemical Vapor Deposition)、ALD(Atomic Layer Deposition)或是濺鍍等真空成膜處理、熱處理、灰化、半導體晶圓表面之清洗處理等。
真空預備模組LLMa、LLMb更分別可經由縱切閥DVc、DVd與後述裝載機運送室LM之大氣運送室連通,於各真空預備室202內設有用來將在 裝載機模組LM與真空運送室PH之間傳送之半導體晶圓W暫時留置之載置台或傳遞台(未經圖示)。
真空運送室PH連接專用真空排氣裝置(未經圖示),室內通常以一定壓力經常保持於減壓狀態。於室內具有可伸縮之一對運送臂Fa、Fb,設有可進行滑動動作、迴旋動作及昇降動作之單片式真空運送機械臂(基板運送裝置)204。此真空運送機械臂204對應來自運送控制部206之指令在製程模組PM1~PM4及真空預備模組LLMa、LLMb之間來回,以單片單位運送半導體晶圓W。
鄰接裝載機運送室LM設有載入埠LP、對準機構ORT及製程結果測定部208。載入埠LP用於在與外部運送車之間投入、移出例如可收納1批25片半導體晶圓W之晶圓匣盒CR。在此,晶圓匣盒CR作為FOUP(Front Open Unified Pod)或SMIF(Standard Mechanical Interface)盒等構成。對準機構ORT用來將半導體晶圓W之切口或定向平面對準既定位置或方向。製程結果測定部208就於製程模組PM1~PM4中任一者接受電漿處理,回到裝載機運送室LM的所有處理完畢的半導體晶圓W,或就周期性地被採樣的處理完畢的半導體晶圓W測定既定製程結果(例如CD值、形狀、膜厚、組成等)。例如,作為製程結果測定CD值時,可適當使用IM(Integrated Metrology)裝置。
設於裝載機運送室LM內之單片式大氣運送機械臂(基板運送裝置)210具有上下二段重疊並可伸縮之一對運送臂Fc、Fd,可在線性馬達212之線性導件214上沿水平方向移動,並可昇降、迴旋,對應來自運送控制部206之指令在載入埠LP、定向平面對準機構ORT、真空預備模組LLMa、LLMb、製程結果測定部208之間來回,以單片單位運送半導體晶圓W。
在此,說明用來令經投入載入埠LP之晶圓匣盒CR內之1片晶圓於此叢集工具內接受一連串處理之基本晶圓運送程序。
裝載機運送室LM內之大氣運送機械臂210在LP門216開啟之狀態下自載入埠LP上的晶圓匣盒CR取出1片半導體晶圓W,運送此半導體晶圓W至對準機構ORT使其接受對準,此完畢後將其移送至真空預備模組LLMa、LLMb其中任一方(例如LLMa)。移送目的地之真空預備模組LLMa在大氣壓狀態下接收半導體晶圓W,在送入後將室內抽真空,在減壓狀態下傳遞半導晶圓W至真空運送室PH之真空運送機械臂204。
真空運送機械臂204使用運送臂Fa、Fb其中一方,將自真空預備模組LLMa取出之半導體晶圓W送入第1個製程模組(例如PM1)。於製程模組PM1內,按照預先設定之配方在既定製程條件(氣體、壓力、電力、時間等)下進行第1程序單片處理。
此第1程序單片處理結束後,真空運送機械臂204將半導體晶圓W自製程模組PM1送出,有下一程序時送入第2個製程模組(例如PM2),無下一程序時朝真空預備模組LLMa、LLMb其中一方運送。送入第2個製程模組(例如PM2)時,於此第2個製程模組PM2亦按照預先設定之配方以既定製程條件進行第2程序單片處理。
此第2程序單片處理結束後,真空運送機械臂204即將半導體晶圓W自第2個製程模組PM2送出,有下一程序時將此送出之半導體晶圓W送入第3個製程模組(例如PM3),無下一程序時將此送出之半導體晶圓W朝真空預備模組LLMa、LLMb其中一方運送。於第3個製程模組(例如PM3)進行處理時,亦在其後有下一程序時將其送入後段製程模組(例如PM4),無下一程序時使其回到真空預備模組LLMa、LLMb其中一方。
如上述於真空系製程腔室PM1、PM2‥接受單一單片電漿處理或一連串單片電漿處理之半導體晶圓W被送入其中一方真空預備模組(例如LLMb)後,此真空預備模組LLMb之室內即自減壓狀態切換至大氣壓狀態。然後,裝載機運送室LM內之大氣運送機械臂210自大氣壓狀態之真空預備模組LLMb取出半導體晶圓W,將此處理完畢之半導體晶圓W送入製程結果測 定部208。
又,製程結果測定部208就該半導體晶圓W結束測定或評價製程結果後,大氣運送機械臂210即自製程結果測定部208取出半導體晶圓W,使取出之半導體晶圓W回到該當晶圓匣盒CR。
此叢集工具方式真空處理裝置中,作為1個系統形態,可於4台全部製程模組PM1~PM4中使用同一機種之電漿處理裝置,令此等電漿處理裝置PM1~PM4進行同一配方之電漿處理。此時,第1程序單片處理於各製程模組PM1~PM4結束後,無下一程序亦即第2程序,故真空運送機械臂204即將自該製程模組送出之處理完畢的半導體晶圓W直接運送至真空預備模組LLMa、LLMb中任一者。雖省略圖示,但此真空處理裝置中,具有用來整合控制系統整體動作之系統控制器。
【實施形態中之電漿處理裝置】
圖2顯示作為製程模組PM1~PM4可搭載於上述叢集工具方式真空處理裝置,依本發明一實施形態之微波電漿處理裝置之構成。此微波電漿處理裝置係在使用微波及平板槽孔天線所激發之表面波電漿下進行例如電漿蝕刻、電漿CVD、電漿ALD等電漿處理之裝置,具有鋁或不鏽鋼等金屬製圓筒型真空腔室(處理容器)10。腔室10接地。
首先,說明此微波電漿處理裝置中無關電漿產生之各部構成。
於腔室10內下部中央,作為基板固持台水平配置有作為被處理基板載置例如半導體晶圓W之圓板狀基座12。此基座12由例如鋁構成,由自腔室10底朝垂直上方延伸之絕緣性筒狀支持部14支持。
在沿筒狀支持部14外周自腔室10底朝垂直上方延伸之導電性筒狀支持部16與腔室10內壁之間形成環狀排氣通道18。於此排氣通道18上部或入口安裝環狀擋板20,且於底部設置1個或複數排氣埠22。各排氣埠22 經由排氣管24連接排氣裝置26。排氣裝置26具有渦輪分子泵等真空泵,可使腔室10內之電漿處理空間減壓至所希望之真空度。於腔室10側壁外設有使半導體晶圓W送入送出口27開合之閘閥28。
於基座12上表面設有靜電吸盤36,包含:偏電極,用來將離子導入半導體晶圓W;及電極36a,用來以靜電吸附力固持半導體晶圓W。
偏電極經由匹配器單元32及供電棒34電性連接RF偏壓用高頻電源30。此高頻電源30以既定功率輸出適於控制導入半導體晶圓W之離子能量之一定頻率例如13.56MHz之高周波。匹配器單元32收納有用來在高頻電源30側阻抗與負載(主電極,電漿,腔室)側阻抗之間進行整合之匹配器。於此匹配器中含有阻隔電容器。
於靜電吸盤36半徑方向外側設有呈環狀包圍半導體晶圓W周圍之對焦環38。電極36a經由開關42及被覆線43電性連接高壓直流電源40。以由直流電源40施加之直流電壓可在靜電吸盤36上藉由靜電力吸附固持半導體晶圓W。
於基座12內部設有沿例如圓周方向延伸之環狀冷媒流路44。自急冷器單元(未經圖示)經由配管46、48對此冷媒流路44循環供給既定溫度之冷媒例如氟類熱媒體或冷卻水cw。藉由冷媒溫度可控制靜電吸盤36上的半導體晶圓W的處理溫度。且經由氣體供給管50對靜電吸盤36上表面與半導體晶圓W背面之間供給來自熱傳氣體供給部(未經圖示)之熱傳氣體例如氦氣。且為裝載/卸載半導體晶圓W亦設有沿垂直方向穿通基座12而可上下移動之升降銷及其昇降機構(未經圖示)等。
其次,說明此微波電漿蝕刻裝置中與電漿產生相關之各部構成。
於與腔室10基座12對向之頂棚面作為頂板氣密性地安裝有微波導入用圓形介電質窗52。此介電質窗52正下方之腔室內空間係電漿產生空間。 介電質窗52以透射微波之介電質,例如石英或是Al2O3等陶瓷製作。
介電質窗52具有張貼或配置於其上表面之導體之狹縫板54。狹縫板54作為用來放射微波之狹縫具有呈同心圓狀分布之旋轉對稱之多數狹縫對(未經圖示)。在狹縫板54上設有用來縮短於其內部傳播之微波波長之介電質板56。狹縫板54電磁性地結合微波傳送線路58。以狹縫板54、介電質板56及設於狹縫板對面之天線後面板構成平板型槽孔天線、例如圓板形輻射狀槽孔天線55。
微波傳送線路58係將自微波產生器60以既定功率輸出之例如2.45GHz之微波傳送至輻射狀槽孔天線55之線路,包含波導管62、波導管-同軸管轉換器64與同軸管66。波導管62係例如方形波導管,以TE模式為傳送模式將來自微波產生器60之微波傳送至波導管-同軸管轉換器64。
波導管-同軸管轉換器64結合方形波導管62之終端部與同軸管66之始端部,將方形波導管62之傳送模式轉換為同軸管66之傳送模式。同軸管66自波導管-同軸管轉換器64朝鉛直下方延伸至腔室10之上表面中心部,其同軸線路之終端部經由介電質板56結合輻射狀槽孔天線55。同軸管66之外部導體70由與方形波導管62一體形成之圓筒體構成,微波在內部導體68與外部導體70之間之空間以TEM模式傳播。
由微波產生器60輸出之微波在如上述之微波傳送線路58之波導管62、波導管-同軸管轉換器64及同軸管66中傳播,經由介電質板56對輻射狀槽孔天線55供電。又,於介電質板56內縮短波長並同時沿半徑方向擴散之微波自天線55各狹縫對作為包含2個正交之極化波分量之圓極化波之平面波朝腔室10內放射。又,因沿介電質窗52表面順著輻射方向傳播之表面波電場(微波電場)使附近氣體電離,產生高密度且電子溫度低之電漿。
在介電質板56上設有兼為天線後面板之冷卻套板72,俾包覆腔室10上表面。此冷卻套板72例如由鋁構成,具有吸收因介電質窗52及介電質 板56產生之介電損耗之熱(放熱)之功能。為此冷卻功能,自急冷器單元(未經圖示)經由配管76、78對形成於冷卻套板72內部之流路74循環供給既定溫度之冷媒例如氟類熱媒體或冷卻水cw。
此微波電漿處理裝置中,作為用來將處理氣體導入腔室10內之氣體導入機構,具有包含設於介電質窗52內之氣體流路之上部氣體導入部80,與包含設於腔室10側壁之氣體流路之側部(旁部)氣體導入部82 2系統。
上部氣體導入部80中設有於同軸管66之內部導體68內沿軸方向穿通其中之中空氣體流路84。內部導體68上端連接來自處理氣體供給源86之第1氣體供給管88,第1氣體供給管88之氣體流路與同軸管66之氣體流路84連通。
內部導體68下端連接氣體噴嘴或注入器90。同軸管66之氣體流路84與注入器90之氣體流路連通。注入器90嵌入介電質窗52之穿通孔,其前端(噴吐口)面對腔室10內之電漿產生空間。
相關構成之上部處理氣體導入部82中,自處理氣體供給源86以既定壓力被送出之處理氣體於第1氣體供給管88、同軸管66各氣體流路中依序流動而由注入器90之噴吐口噴出,朝腔室10內之電漿產生空間擴散。又,於第1氣體供給管88途中設有MFC(質量流量控制器)92及開合閥94。
側部氣體導入部82包含:緩衝室(歧管)96,位在低於介電質窗52下表面之位置,於腔室10側壁中(或其內側)呈環狀設置;多數側壁氣體噴出口98,沿圓周方向以等間隔方式自緩衝室96面對電漿產生空間;及第2氣體供給管100,自處理氣體供給源86延伸至緩衝室96。
於第2氣體供給管100途中設有MFC102及開合閥104。
此側部氣體導入部82中,由處理氣體供給源86以既定壓力被送出之處理氣體(例如蝕刻氣體或是成膜氣體)通過第2氣體供給管100而被導入腔室10側壁內之緩衝室96,於緩衝室96內使環繞方向之壓力均一化再自各側壁氣體噴出口98以大致水平之方式噴出,自腔室10內周邊部朝中心部於電漿產生空間擴散。
又,由上部氣體導入部80及側部氣體導入部82分別被導入腔室10內之處理氣體通常雖係同種氣體,但亦可係不同種類氣體,可通過各MFC92、102以分別獨立之流量,或是以任意流量比導入。
於腔室10側壁安裝有用來在較基座12上表面稍高之位置監視電漿發光之光感測器106。此光感測器106之輸出經由光纖107連接OES(Optical Emission Spectroscopy)運算部108。藉由光感測器106、光纖107及OES運算部108構成OES量測部110。此OES量測部110對在腔室10內產生之為可觀測狀態量之電漿之發光進行分光量測,就特定頻譜,或是就一定範圍內之所有頻譜,取得關於其強度之既定分光量測值MOES。
主控制部112具有1個或複數微電腦,控制此微波電漿處理裝置內各部,例如排氣裝置26、高頻電源30、靜電吸盤36用開關42、微波產生器60、上部氣體導入部80、側部氣體導入部82、處理氣體供給源86、熱傳氣體供給部(未經圖示)等各動作及裝置整體動作。且主控制部112自上述OES量測部110導入分光量測值MOES。且主控制部112亦連接人機介面用觸控面板(未經圖示)、收納有設定此電漿處理裝置各動作之各種程式及配方等各種設定值資料或各種量測值資料之外部記憶裝置(未經圖示),更連接運送控制部206或製程結果測定部208(圖1)等。此實施形態中,主控制部112雖作為1個控制單元表示,但亦可採取複數控制單元以並列或階層方式分擔主控制部112之功能之形態。
此微波電漿處理裝置中,為進行例如蝕刻,首先使閘閥28為開啟狀態將加工對象之半導體晶圓W送入腔室10內,將其載置在靜電吸盤36上。 又,自處理氣體導入部80、82以既定流量及流量比將處理氣體亦即蝕刻氣體(一般係混合氣體)導入腔室10內,藉由排氣裝置26使腔室10內之壓力減壓至設定值。且自熱傳氣體供給部對靜電吸盤36與半導體晶圓W之接觸界面供給熱傳氣體(氦氣),並使開關42導通,藉由靜電吸盤36之靜電吸附力固定半導體晶圓W。又,使微波產生器60導通,令由微波產生器60以既定功率被輸出之微波自微波傳送線路58傳播,朝輻射狀槽孔天線55供電,自天線55朝腔室10內放射微波。且使高頻電源30導通,以既定功率輸出RF偏壓用高周波,經由匹配器單元32及供電棒34對偏電極施加此高周波。
自上部氣體導入部80之注入器90及側部氣體導入部82之氣體噴出口98被導入腔室10內電漿產生空間之蝕刻氣體因順著介電質窗52下表面與電漿沿輻射方向傳播之微波表面波電離或解離。如此,於介電質窗52附近產生之電漿朝下方擴散,藉由電漿中之自由基以等向性蝕刻及/或離子照射對半導體晶圓W主面之被加工膜進行垂直蝕刻。
【實施形態中之多層光阻法之蝕刻】
其次,說明在使用多層光阻法以令MOS電晶體之閘電極圖案化之閘極蝕刻加工時使用此微波電漿處理裝置之一實施例。
圖3顯示於此微波電漿處理裝置可實施之多層光阻法一例。在加工對象之半導體晶圓W之主面中,於原來的被加工膜(例如閘電極用多結晶Si膜)114上作為最下層(最終遮罩)形成SiN層116。又,在SiN層116上作為中間層形成有機膜(例如碳)118,在有機膜118上隔著抗反射膜(BARC)120形成最上層光阻122。使SiN層116、有機膜118及抗反射膜120成膜時使用CVD或是旋轉塗布法。圖案化光阻122時使用光微影。又,在多結晶Si膜114下形成閘極絕緣膜用熱氧化膜(未經圖示)。
一開始,作為第1步驟之蝕刻製程,如圖3(A)(B)所示,以預先圖案化之光阻122為遮罩蝕刻抗反射膜120。此時,蝕刻氣體中使用例如Ar/HBr/O2 之混合氣體。
其次,作為第2步驟之蝕刻製程,如圖3(B)(C)所示,以光阻122及經圖案化之抗反射膜120為遮罩較薄地蝕刻有機膜118表面。此時,蝕刻氣體中使用例如Ar/Cl2之混合氣體。又,因第1步驟之蝕刻氣體中使用O2,於第1步驟結束時點在有機膜118表面沉積有氧化膜,故為去除此氧化膜進行此蝕刻加工。因此,蝕刻量相對較少,蝕刻時間亦相對較短。
最後,作為第3步驟之蝕刻製程,如圖3(C)(D)所示,以光阻122與抗反射膜120為遮罩進行有機膜118之主要蝕刻。此時,蝕刻氣體中使用例如Ar/O2之混合氣體。
如此,光阻122之圖案通過抗反射膜120轉印至有機膜118。此後雖省略圖示,但藉由濕蝕刻或灰化去除光阻122及抗反射膜120之殘膜。又,以有機膜118之圖案為遮罩蝕刻SiN膜116,接著以SiN膜116之圖案為遮罩蝕刻多結晶Si膜114。此等後續程序通常藉由其他處理裝置進行。然而,當然亦可在SiN膜116之蝕刻加工及/或多結晶Si膜114之蝕刻加工時使用用於上述抗反射膜120及有機膜118之連續蝕刻加工之微波電漿處理裝置(圖2)。
此實施形態之微波電漿處理裝置中,進行如上述之多層光阻法之蝕刻加工時,預先製作例如圖4所示之配方,於主控制部112內之記憶體或外部記憶裝置收納此配方之資料。主控制部112參照收納於內部記憶體或外部記憶裝置之配方資料,於各步驟逐一按照配方之製程條件設定值控制裝置內各部(排氣裝置26、微波產生器60、高頻電源30、處理氣體供給源86、MFC92、102等)。
依圖4之配方,第1步驟中,分別設定腔室10內之壓力為P1(mTorr),由輻射狀槽孔天線55供給之上部微波功率(上部MW)為MP1(W),對基座12施加之下部高頻功率(下部RF)為RP1(W),蝕刻氣體(Ar/HBr/O2)之流量為a1/b1/d1(sccm),上部 氣體導入部80與側部氣體導入部82之間之中心/側部氣體流量比為RDC1,平台(下部電極)之中心/邊緣/急冷器溫度為TC1/TE1/TR1(degC),蝕刻時間為t1(sec)。
第2步驟中,分別設定壓力為P2(mTorr),上部微波功率(上部MW)為MP2(W),下部高頻功率(下部RF)為RP2(W),蝕刻氣體(Ar/Cl2)流量為a2/c2(sccm),中心/側部氣體流量比為RDC2,平台(下部電極)之中心/邊緣/急冷器溫度為TC2/TE2/TR2(degC),蝕刻時間為t2(sec)。
第3步驟中,分別設定壓力為P3(mTorr),上部微波功率(上部MW)為MP3(W),下部高頻功率(下部RF)為RP3(W),蝕刻氣體(Ar/O2)流量為a3/d3(sccm),中心/側部氣體流量比為RDC3,平台(下部電極)之中心/邊緣/急冷器溫度為TC3/TE3/TR3(degC),蝕刻時間為t3(sec)。
此配方中,就第1、第2及第3步驟分別獨立設定製程條件(電力、氣體種類、氣體流量、中心/側部氣體流量比、溫度、蝕刻時間)。事實上,某製程條件設定值於不同步驟間相同可能頻繁發生。
且此實施形態中,於上述配方內,或是在上述配方外另就第1、第2及第3步驟分別設定CD(例如底部CD)目標值。亦即,如圖5所示,此多層光阻法之蝕刻加工中,藉由掃描式電子顯微鏡(SEM)等預先測定光阻122之圖案短尺寸,作為初期值CD0設定該CD測定值。相對於此初期值CD0,作為第1目標值CD1設定因第1步驟之蝕刻造成的抗反射膜120之圖案短尺寸,作為第2目標值CD2設定因第2步驟之蝕刻造成的有機膜118a之上部圖案短尺寸,作為第3目標值CD3設定因第3步驟之蝕刻造成的有機膜118b之主要部位圖案短尺寸。將上述初期值CD0以及第1、第2及第3目標值CD1、CD2、CD3收納於主控制部112之內部記憶體或外部記憶裝置。
又,通常為對應MOS電晶體之微細化,如圖5所示,採用每當重複蝕刻加工步驟即縮小CD以趨近最終目的之CD(閘極CD)之手法。然而,亦可在所有步驟中皆維持CD為同一值,或每當重複步驟CD即逐漸增大。
[APC之實施例1]
圖6顯示為實施如上述之多層光阻法之蝕刻加工(圖3)可搭載於此微波電漿處理裝置之APC機構適當之一實施例。藉由OES量測部110與主控制部112內之硬體(特別是CPU、內部記憶體、介面)及軟體(程式、運算法則、設定值及測定值資料)構築此APC機構。圖7顯示此APC機構之主要處理順序。
此APC機構中,控制對象130係腔室10內進行之蝕刻製程,受到各種干擾。製程控制部132於各步驟逐一自CD目標值設定部134接收CD之目標值CDi(i=1、2、3),控制控制對象之蝕刻製程130,俾獲得與該目標值CDi一致或近似之CD。在此,製程控制部132於各步驟逐一自配方記憶部136導入製程條件設定值PCi(圖4),並自控制模型記憶部138導入各步驟用製程控制模型CMi,將此等製程條件設定值PCi及製程控制模型CMi用於係控制對象之蝕刻製程130之自動控制(圖7之S1、S2)。關於製程控制模型CMi及製程條件,特別是作為操作變數之製程參數於後詳細說明。
作為控制對象之蝕刻製程130之輸出或控制變數係電漿發光,於各步驟之蝕刻加工中藉由OES量測部110監視之(圖7之S3→S4→S5→S3‥)。此實施例中之OES量測部110於各步驟結束時或結束後馬上輸出各步驟分的分光量測值MOESi(圖7之S4→S6)。例如,OES量測部110作為各步驟分之分光量測值MOESi求取與電漿蝕刻之相關性高之特定分光頻譜強度之平均值、積分值或是於既定時機(例如步驟正結束時)之瞬間值。在此,為補償監視窗髒污等測定環境之經時性變動,亦可取如上述與電漿蝕刻相關性高之分光頻譜強度和與電漿蝕刻之相關性幾近無或非常低之分光頻譜強度之比,以此比之平均值、積分值或是於既定時機之瞬間值為各步驟之分光量測值MOESi。或是,亦可以包含於一定波長範圍之所有頻譜(強度)總和之時間性積分值為各步驟之分光量測值MOESi
CD推定部140於各步驟逐一自推定模型記憶部142導入各步驟用CD 推定模型AMi,於各步驟結束後使用此CD推定模型AMi與來自OES量測部110之分光量測值MOESi求取各步驟分之CD推定值ACDi(圖7之S7)。關於CD推定模型AMi於後詳細說明。
作為前饋信號賦予製程控制部132如此於各步驟結束後馬上由CD推定部140產生之CD推定值ACDi。製程控制部132於下一步驟使用自CD推定部140接收之CD推定值ACDi。亦即,於下一步驟,製程控制部132除將自配方記憶部136導入之下一步驟分之製程條件設定值PCi+1及自控制模型記憶部138導入之下一步驟分之製程控制模型CMi+1用於控制對象(蝕刻製程)130之自動控制外,更將自CD推定部140接收之先前步驟分之CD推定值ACDi(或偏差△CD1=CD1-ACD1)用於控制對象(蝕刻製程)130之自動控制(圖7之S8→S9→S10→S1)。
例如,偏差△CD1=CD1-ACD1為+符號值時,於第1步驟推定值ACDi小於第1目標值CD1。亦即推定上述多層光阻法之蝕刻加工(圖3)中,作為第1步驟之蝕刻結果抗反射膜120之CD小於第1目標值CD1。此抗反射膜120之CD係下一第2步驟中有機膜118蝕刻之遮罩尺寸(基準值)。因此,此抗反射膜120之CD實際上小於第1目標值CD1時,若下一第2步驟之蝕刻按照配方進行,於第2步驟結束時點有機膜118之上部圖案短尺寸即會確實小於第2目標值CD2。在此,製程控制部132將上述偏差△CD1考慮在內,以稍大於第2目標值CD2之CD為目標,於第2步驟分之製程條件設定值PC2中調整操作變數之製程參數。
相反地,偏差△CD1=CD1-ACD1為-符號值時,於第1步驟推定值ACDi大於第1目標值CD1,此時朝相反方向施行修正。亦即,製程控制部132參照-符號之上述偏差△CD1,以稍小於第2目標值CD2之CD為目標,在第2步驟分之製程條件設定值PC2中調整操作變數之製程參數。
圖6中,為判定蝕刻加工良否,判定部144於各步驟逐一接收來自CD目標值設定部134之目標值CDi與來自CD推定部140之CD推定值ACDi, 檢查兩者之差分或偏差△CDi。又,偏差△CDi收納於允許範圍內時判定該步驟之蝕刻加工良好,非如此時(偏差△CDi超出允許範圍時)判定該步驟之蝕刻加工不良。
事實上,即使第1步驟及/或第2步驟不良,只要判定結果最後的第3步驟良好,結果即可判定本次單片蝕刻製程良好。相反地,即使第1步驟及第2步驟皆良好,只要於最後的第3步驟判定結果不良,結果即可判定本次之單片蝕刻處理不良。主控制部112以自判定部144獲得之判定結果為基礎,判斷應繼續後續之單片蝕刻處理還是應中止。
程序控制部146按照上述處理順序控制各部時機,俾此APC機構內各部相互協同動作。
如上述,此實施例之APC機構包含CD推定部140與製程控制部132。在此,CD推定部140自在各步驟結束後由OES量測部110獲得之分光量測值MOESi使用CD推定模型AMi推定該步驟中之CD值。另一方面,製程控制部132於各步驟之下一步驟根據由CD目標值設定部134賦予之下一步驟分之CD目標值CDi+1與由CD推定部140賦予之各步驟分之CD推定值ACDi,使用製程控制模型CMi調整自製程條件中選出之既定製程參數。亦即,對該製程參數之設定值施行修正。又,於各步驟逐一切換在製程控制部132使用之製程條件設定值PCi、CD目標值CDi及製程控制模型CMi,並切換在CD推定部140使用之CD推定模型AMi。顯示此構造於圖8之表。
如此,此實施例之APC機構完全以步驟單位設定CD目標值、分光量測電漿發光、推定製程結果值並調整製程參數,故可構築在以多層膜光阻法進行之1次單片蝕刻製程中進行步驟間控制之APC。且於每一步驟切換在製程控制部132使用之製程控制模型CMi及在CD推定部140使用之CD推定模型AMi。此實施形態中之微波電漿處理裝置因具有如此之APC機構,可細膩抑制僅設定製程條件無法穩定化之製程實行狀態,及以硬體無法因應之裝置狀態之變動,實行無不穩定或差異之多步驟蝕刻製程,使所 有步驟結束後之CD與目標值一致或盡量地近似。藉此,可消除裝置間或模組間機器間之差異,抑制製程變動。
此實施例之APC機構中,在CD推定部140使用之CD推定模型AMi宜係利用實驗計畫法(DOE)以多重分類分析求取之統計性模型。例如,自統計資料或實驗資料取得表示操作變數之製程參數與CD實測值之間之相關關係(圖9A)之第1函數(圖9A),並取得表示操作變數之製程參數與分光量測值MOES之間之相關關係之第2函數(圖9B)。又,依第1函數(圖9A)與第2函數(圖9B)製作表示分光量測值MOES與CD推定值ACD之間之相關關係之第3函數亦即CD推定模型AM(圖9C)。
作為用來構築CD推定模型AM之另一手法,亦可適當使用多變數分析例如PLSR(Partial Least Squares Regression)。圖10顯示藉由PLSR法構築CD推定模型AM之順序。
首先,藉由就多數(宜在10片以上)之半導體晶圓所實施,根據所賦予之配方之電漿蝕刻之實際製程或實驗,自OES量測部110及製程結果測定部208分別取得OES及CD之實際資料(圖10之A1)。
作為如圖11所示之波長軸上及時間軸上的3維頻譜賦予自OES量測部110獲得之OES資料(分光量測值MOES)。例如,波長量測範圍為200~800nm,測定解析度為0.5nm時,在波長軸上測定1201個波長光強度。且採樣時間若為例如0.1秒,製程時間為50秒時,自製程開始時至結束時以0.1秒間隔取得計500次各波長分之OES資料。
如此,於1次製程自OES量測部110獲得之OES資料相當龐大。在此,宜就OES資料施行資料壓縮(濾波處理)。具體而言,於某時點觀測即知,如圖12所示,200~800nm之頻譜大幅不均。此傾向橫跨製程所有時間幾乎不變。在此,進行自OES資料中將相對強度過低之波長作為雜訊資料去除之濾波處理(圖10之A2),及去除相對強度過高(例如飽和)之波長之濾波處 理(圖10之A3)。藉由此等濾波處理,可將上述1201個被觀測波長減至例如約400個。
且如圖13所示,在時間軸上,製程開始後各波長之光強度會馬上急速上升,亦易於引起過衝,至穩定止需一段時間。在此,去除如此之過渡時間(圖示例為5秒)並求取平均值(圖10之A4)。藉此,可更壓縮OES資料。又,圖13係顯示於上述第2步驟之蝕刻製程取得之CN(碳化氮)頻譜(387.0nm)時間軸上的變化之描繪圖。於其他頻譜亦可見到同樣的過渡特性。
又,依如上述壓縮之OES之實際資料與CD之實際資料在離線之電腦上藉由PLSR之運算法則求取以如下述之回歸分析式(1)表示之CD推定模型AM之回歸係數bj(j=0、1、‥p)(圖10之A5、A6)。
CD=b0+b1*X1+b2*X2+...+bp*Xp...(1)
惟Xj(j>0)係壓縮之OES資料所包含之各波長(λj)之光強度(平均值)。上述例中壓縮OES資料之波長至400個時,最後項為p=399。
如圖12所示之OES資料數即使進行資料前處理,其數量亦達數百個,且可觀察到強烈的多重共線性。(回歸係數值不穩定,預測精度非常差。)
多重回歸雖可利用於包含大量因子(波長)之資料分析,但因子數若過多即會發生過度配適(over-fitting)而使預測精度變差。因此,為避免多重共線性、過度配適利用PLSR或PCR構築推定模型。
如上述以PLSR法構築之CD推定模型AM於本實施形態電漿處理裝置(圖2)中被收納於APC機構(圖6)之推定模型記憶部142。又,實際之電漿蝕刻中,使用此PLSR之CD推定模型AM求取CD推定值ACD時,在線上進行如圖14所示之信號處理。
亦即,針對自OES量測部110獲得之OES資料(分光量測值MOES)(圖 14之B1),於CD推定部140內(或是OES量測部110內)與上述相同,進行去除強度過低之波長之濾波處理(圖10之B2)及去除強度過高之波長之資料壓縮之濾波處理(圖14之B3),且排除過渡時間進行平均化處理(圖14之B4)。又,將如此壓縮之OES資料,亦即p個(400個)波長分之光強度(平均值)資料設定為CD推定部140中以上述式(1)表示之PLSR CD推定模型AM之獨立變數(圖14之B5),藉此計算因變數之CD推定值(圖14之B6)。
圖15顯示使用在作為同一機種之電漿蝕刻裝置(圖2)之叢集工具A之第1製程模組A PM1、叢集工具B之第1及第2製程模組BPM1、BPM2、叢集工具C之第1及第2製程模組CPM1、CPM2中以同一配方實施上述第2步驟蝕刻製程時獲得之資料組,藉由PLSR求得之CD推定值與CD實測值之描繪圖。此曲線中,橫軸之數字1、2、3係於各製程模組PM連續進行同一配方蝕刻製程之晶圓之處理順序。且縱軸數字係CD值(推定值及實測值)。
如圖示,關於上述蝕刻製程之CD,已知裝置間機器間之差異、腔室間機器間之差異明顯存在,且儘管如此機器間之差異明顯存在,但PLSR法之CD推定值於任一製程模組中皆以非常高之精度近似CD實測值。圖15中,絕對平均比率誤差(MAPE)為-0.4,均方根誤差(RMSE)為0.038。
就圖15之資料相關性(曲線)進行回歸分析(最小平方法)即如圖16所示。圖16中,回歸直線以y=0.99x-0.064表示,R2=0.988。又,圖16中亦與PLSR之回歸分析一併顯示PCR(Principal Least Squares Regression)之回歸分析。如此,PLSR以外之多重分類分析亦可適當使用於CD推定模型AM之構築。
此實施例中,於多層光阻法之蝕刻加工內在各步驟逐一對應製程條件獨立設定CD推定模型AMi。亦即,於各步驟逐一獨立構築或設定例如上述PLSR之CD推定模型(數式及/或係數),俾可對應於各步驟逐一獨立設定之製程條件,就各步驟之蝕刻製程自電漿發光狀態適當推定製程結果之 CD。
於製程控制部132使用之製程控制模型CMi亦宜係利用實驗計畫法(DOE),藉由多重分類分析求取之統計性模型。此實施例中,於多層光阻法之蝕刻加工在各步驟逐一對應製程條件獨立設定製程控制模型CMi。亦即,調整操作變數之製程參數,俾對應於各步驟逐一獨立設定之製程條件,在各步驟蝕刻製程中考慮先前步驟分之推定值ACDi-1並同時獲得與目標值CDi一致或近似之CD。又,一開始(第1)之步驟其先前步驟分之推定值事實上不存在,故不需將其考慮在內。
此實施例中,與製程條件於各步驟逐一獨立設定相關聯,媒體變數之製程參數亦於每一步驟獨立設定或選定。通常,製程參數根據實驗選定。例如,就於各步驟逐一設定之製程條件分別選擇參數,測定於其設定值或既定基準值附近該參數可變既定量時製程結果(CD)之變化量,藉此可就各參數求取感度。因此,可在所有製程條件間對分別對各感度賦予順位。將其中最佳者(通常宜為1個,但複數亦可)選定為媒體變數之製程參數即可。
例如,上述多層光阻法之蝕刻加工中,製作如圖4所示之配方時,於第1步驟製程條件中感度最高者係O2流量,第2個係HBr流量,第3個係下部RF功率。其他的製程條件(壓力、上部MW、溫度、時間等)的感度皆非常低。因此,選定O2流量、HBr流量、下部RF功率中任一者或複數者為第1步驟製程參數即可。
且於第2步驟製程條件中Cl2流量與下部RF功率之感度突出地高。其他製程條件(壓力、上部MW、溫度、時間等)的感度皆低。因此,選定Cl2流量、下部RF功率中任一方或雙方為第2步驟製程參數即可。
且於第3步驟製程條件中O2流量與下部RF功率突出地高。其他製程條件(壓力、上部MW、溫度、時間等)的感度皆低。因此,選定O2流量、下部RF功率中任一方或雙方為第3步驟製程參數即可。
[其他實施形態或變形例]
圖17顯示為實施如上述之多層光阻法之蝕刻加工(圖3)可搭載於此微波電漿處理裝置之APC機構之其他適當實施例。圖中,對具有與上述第1實施例中之APC機構(圖6)相同之構成或功能之部分賦予同一符號。圖18顯示此第2實施例中APC機構之主要處理順序。
此實施例中,OES量測部110在各步驟製程實行中以一定時間Tn(例如100msec)之周期輸出分光量測值MOESn(圖18之S3、S4)。因此,此分光量測值MOESn係與電漿蝕刻之相關性高之特定分光頻譜強度各採樣時點中之瞬間值、相加平均值或積分值即可。或是,係一定波長範圍所包含之所有頻譜(強度)總和之各採樣時點中之瞬間值、相加平均值或積分值即可。
CD預測部150於各步驟逐一自預測模型記憶部152導入各步驟用CD預測模型FMi,在各步驟製程實行中,使用此CD預測模型FMi與自OES量測部110於每一一定時間Tn依序賦予之分光量測值MOESn於每一一定時間Tn求取各步驟分之CD預測值FCDi(圖18之S7)。CD預測模型FMi宜係利用實驗計畫法(DOE),藉由多重分類分析求取之統計性離散時間模型。例如,亦可藉由將時間參數納入上述CD預測模型FM製作離散時間型CD預測模型FMi
於如此各步驟製程實行中,自OES量測部110於每一一定時間Tn作為反饋信號賦予製程控制部132分光量測值MOESn。製程控制部132對應自OES量測部110於每一一定時間Tn所賦予之分光量測值MOESn調整操作變數之製程參數,俾目標值CDi與預測值FCDn之偏差△CD趨近零。此實施例之APC機構隔著既定時間進行所有CD目標值之設定、電漿發光之分光量測、CD之預測及製程參數之調整,故可構築即時控制之APC。
於製程控制部132使用之製程控制模型CMi'亦宜係利用實驗計畫法(DOE),藉由多重分類分析求取之統計性離散時間模型。例如,亦可藉由將 時間參數納入上述第1實施例中之製程控制模型CM製作離散時間型製程控制模型CMi'。
上述實施形態之微波電漿處理裝置中,主控制部112可自叢集工具系統所包含之製程結果測定部208(圖1)取得CD實測值。此CD實測值宜包含第1、第2及第3步驟分之各CD實測值。因此,亦可賦予製程控制部132以晶圓單位或批次單位自製程結果測定部208獲得之CD實測值而進行Run-2-Run方式之反饋控制或是前饋控制,亦可併用如此之Run-2-Run方式與上述實施例之APC機構。且亦可使上述實施例之APC機構具有根據來自製程結果測定部208之CD實測值修正製程控制模型CMi、CD推定模型AMi、CD預測模型FMi之學習功能。
上述實施形態係關於多層光阻法之蝕刻加工者。然而,本發明可適用於將針對1片被處理基板之單片電漿處理分割為複數步驟,於各步驟逐一獨立設定製程條件之任意電漿製程。例如,本發明亦可適用於在1次單片成膜處理中變更製程條件而形成複數薄膜之電漿CVD或電漿ALD。因此,本發明中之製程結果不限於CD,亦包含例如蝕刻製程中之形狀或面內均一性或是成膜製程中之膜厚或組成等。
且本發明(特別是第2實施例之即時APC)亦可適用於單一步驟之電漿製程。本發明雖可適當適用於被納入如叢集工具方式之多腔室系統之電漿處理裝置,但當然亦可適用於獨立電漿處理裝置或電漿處理方法。
本發明之電漿處理裝置不由上述實施形態中之微波電漿裝置限定,亦可係電容耦合型電漿處理裝置或電感耦合型電漿處理裝置等。因此,本發明之電漿處理方法亦可適用於電容耦合型或電感耦合型電漿處理方法。
本發明中之被處理基板不限於半導體晶圓,亦可係平面顯示器、有機EL、太陽電池用各種基板或光罩、CD基板、印刷基板等。
110‧‧‧OES量測部
130‧‧‧控制對象(蝕刻製程)
132‧‧‧製程控制部
134‧‧‧CD目標值設定部
136‧‧‧配方記憶部
138‧‧‧控制模型記憶部
140‧‧‧CD推定部
142‧‧‧推定模型記憶部
144‧‧‧判定部
146‧‧‧程序控制部

Claims (12)

  1. 一種電漿處理裝置,將針對1片被處理基板之電漿處理分割為複數步驟,於各步驟逐一獨立設定製程條件,其特徵在於包含:處理容器,以可置入取出之方式收納該基板並可真空排氣;電漿產生部,於各步驟逐一按照該製程條件在該處理容器內產生處理氣體之電漿;目標值設定部,就既定製程結果於各步驟逐一設定目標值;電漿量測部,將於該處理容器內所產生之電漿之發光加以分光量測;製程結果推定部,在各步驟結束後,依自該電漿量測部所獲得之分光量測值推定該步驟中的該製程結果之值;及製程控制部,於各步驟之下一步驟,根據由該目標值設定部所賦予之下一步驟分之該製程結果目標值與由該製程結果推定部所賦予之各步驟分之該製程結果推定值,調整該製程條件中至少1者,以作為製程參數。
  2. 如申請專利範圍第1項之電漿處理裝置,其中該製程結果推定部使用依實驗計畫法藉由多重回歸分析所求取之第1統計性模型,而求取該製程結果推定值。
  3. 如申請專利範圍第2項之電漿處理裝置,其中該第1統計性模型係依該製程條件及該製程參數加以設定,於各步驟逐一切換之。
  4. 如申請專利範圍第1項之電漿處理裝置,其中該製程控制部使用以實驗計畫法藉由多重回歸分析所求取之第2統計性模型求取該製程參數之修正值。
  5. 如申請專利範圍第4項之電漿處理裝置,其中該第2統計的模型係依該製程條件及該製程參數加以設定,於各步驟逐一切換之。
  6. 如申請專利範圍第1項之電漿處理裝置,其中具有測定各步驟中的該製程結果之值的製程結果測定部,根據自該製程結果測定部所獲得之製程結果測定值,而修正該第1統計性模型。
  7. 如申請專利範圍第1項之電漿處理裝置,其中具有根據最後步驟中之該製程結果推定值,判定針對該基板之該電漿 處理的良否之判定部。
  8. 如申請專利範圍第1項之電漿處理裝置,其中該電漿量測部求取電漿所包含之特定分光頻譜值,以作為該分光量測值。
  9. 如申請專利範圍第1項之電漿處理裝置,其中該電漿量測部求取電漿所包含之第1及第2分光頻譜的比,以作為該分光量測值。
  10. 如申請專利範圍第1項之電漿處理裝置,其中該電漿量測部求取電漿所包含之一定波長範圍內所有頻譜之積分值,以作為該分光量測值。
  11. 一種電漿處理裝置,包含:處理容器,以可置入取出之方式收納該基板並可真空排氣;製程條件設定部,將用來進行針對1片被處理基板之電漿處理之製程條件加以設定;電漿產生部,按照該製程條件於該處理容器內產生處理氣體之電漿;目標值設定部,就既定製程結果設定目標值;電漿量測部,將於該處理容器內所產生之電漿之發光加以分光量測,每隔一定時間計算分光量測值;製程結果預測部,每隔一定時間,依自該電漿量測部所獲得之分光量測值預測該製程結果之值;及製程控制部,根據由該目標值設定部所賦予之該製程結果目標值與每隔一定時間由該製程結果預測部所賦予之該製程結果預測值,調整該步驟內該製程條件中至少1者,以作為製程參數。
  12. 一種電漿處理方法,將針對1片被處理基板之電漿處理分割為複數步驟,於各步驟逐一獨立設定製程條件,其特徵在於包含下列程序:就既定製程結果於各步驟逐一設定目標值;於各步驟逐一按照該製程條件,在以可置入取出之方式收納基板之處理容器內產生處理氣體之電漿;將於該處理容器內所產生之電漿之發光加以分光量測,求取分光量測值; 於各步驟結束後,自該分光量測值推定該步驟中該製程結果之值;於各步驟之下一步驟,根據該下一步驟分之該製程結果目標值與各步驟分之該製程結果推定值,調整該製程條件中至少1者,以作為製程參數。
TW102103767A 2012-02-03 2013-01-31 電漿處理裝置及電漿處理方法 TW201346972A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012021991A JP2013161913A (ja) 2012-02-03 2012-02-03 プラズマ処理装置及びプラズマ処理方法

Publications (1)

Publication Number Publication Date
TW201346972A true TW201346972A (zh) 2013-11-16

Family

ID=48904915

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102103767A TW201346972A (zh) 2012-02-03 2013-01-31 電漿處理裝置及電漿處理方法

Country Status (5)

Country Link
US (1) US20150004721A1 (zh)
JP (1) JP2013161913A (zh)
KR (1) KR20140119066A (zh)
TW (1) TW201346972A (zh)
WO (1) WO2013114870A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI683340B (zh) * 2017-08-08 2020-01-21 日商日立全球先端科技股份有限公司 電漿處理裝置及電漿處理系統

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
TW201613421A (en) * 2014-07-03 2016-04-01 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP6310866B2 (ja) 2015-01-30 2018-04-11 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法並びに解析方法
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
JP6549917B2 (ja) 2015-06-26 2019-07-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびそのデータ解析装置
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
JP6643202B2 (ja) 2016-07-21 2020-02-12 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理データを解析する解析方法
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10748745B2 (en) 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
JP6723660B2 (ja) * 2017-03-24 2020-07-15 住友重機械イオンテクノロジー株式会社 ウェハ保持装置及びウェハ着脱方法
KR101930727B1 (ko) 2017-04-10 2019-03-11 서울대학교 산학협력단 Oes 기반의 플라즈마 상태 변수 제어 장치
US10707058B2 (en) 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
US11037764B2 (en) 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
JP6778666B2 (ja) * 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
US10784174B2 (en) * 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
JP6971805B2 (ja) * 2017-11-28 2021-11-24 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
JP6999410B2 (ja) * 2017-12-25 2022-01-18 東京エレクトロン株式会社 基板処理方法
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source
US11081317B2 (en) 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
US11393661B2 (en) 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
JP6830464B2 (ja) * 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US11039527B2 (en) * 2019-01-28 2021-06-15 Mattson Technology, Inc. Air leak detection in plasma processing apparatus with separation grid
JP7454915B2 (ja) * 2019-04-11 2024-03-25 東京エレクトロン株式会社 処理装置および処理方法
US11568101B2 (en) 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
KR102200152B1 (ko) * 2019-09-16 2021-01-08 (주)화백엔지니어링 플라즈마 공정 모니터링 장치 및 방법
TW202204876A (zh) * 2020-07-16 2022-02-01 日商東京威力科創股份有限公司 資料處理裝置、資料處理系統、資料處理方法及資料處理程式
US20220028716A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Substrate measurement subsystem
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
KR102335854B1 (ko) * 2020-08-20 2021-12-06 한국핵융합에너지연구원 스마트센서를 이용한 플라즈마 공정 제어 방법 및 시스템
KR102600286B1 (ko) * 2020-11-30 2023-11-08 세메스 주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치의 제조 방법
US20240094056A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited Optical Emission Spectroscopy for Advanced Process Characterization

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
JP2010199126A (ja) * 2009-02-23 2010-09-09 Panasonic Corp プラズマ処理方法およびプラズマ処理装置
JP5334787B2 (ja) * 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI683340B (zh) * 2017-08-08 2020-01-21 日商日立全球先端科技股份有限公司 電漿處理裝置及電漿處理系統

Also Published As

Publication number Publication date
JP2013161913A (ja) 2013-08-19
US20150004721A1 (en) 2015-01-01
WO2013114870A1 (ja) 2013-08-08
KR20140119066A (ko) 2014-10-08

Similar Documents

Publication Publication Date Title
TW201346972A (zh) 電漿處理裝置及電漿處理方法
JP7410106B2 (ja) ギャップ充填時の蒸着およびエッチングのための装置および方法
KR100789007B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
US9496147B2 (en) Plasma processing apparatus and plasma processing method
US7094613B2 (en) Method for controlling accuracy and repeatability of an etch process
US20230178419A1 (en) Scaled liner layer for isolation structure
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
TWI518217B (zh) Etching method and etching device
TWI490912B (zh) Pattern forming method and manufacturing method of semiconductor device
KR20210149894A (ko) 듀얼 주파수, 직접 구동 유도 결합 플라즈마 소스
US11322416B2 (en) Controller for controlling core critical dimension variation using flash trim sequence
US20230102487A1 (en) Minimizing reflected power in a tunable edge sheath system
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning