TWI705153B - 在原子層沉積期間透過化學品的受控分離與輸送操作而達成低瑕疵處理的系統與方法 - Google Patents

在原子層沉積期間透過化學品的受控分離與輸送操作而達成低瑕疵處理的系統與方法 Download PDF

Info

Publication number
TWI705153B
TWI705153B TW105121842A TW105121842A TWI705153B TW I705153 B TWI705153 B TW I705153B TW 105121842 A TW105121842 A TW 105121842A TW 105121842 A TW105121842 A TW 105121842A TW I705153 B TWI705153 B TW I705153B
Authority
TW
Taiwan
Prior art keywords
gas
valve
substrate processing
processing system
pipe
Prior art date
Application number
TW105121842A
Other languages
English (en)
Other versions
TW201712147A (zh
Inventor
拉密許 謙德拉瑟哈蘭
珍妮佛 歐洛芙琳
山古特 尚朋
珊卡 史旺明內森
法蘭克 帕斯果
克洛伊 巴爾達塞羅尼
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/805,852 external-priority patent/US9631276B2/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201712147A publication Critical patent/TW201712147A/zh
Application granted granted Critical
Publication of TWI705153B publication Critical patent/TWI705153B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

氣體輸送系統包括第一閥,其包括與第一氣體來源流體連通的入口。第二閥的第一入口與第一閥的出口流體連通,且第二閥的第二入口與第二氣體來源流體連通。第三閥的入口與第三氣體來源流體連通。連接件包含第一氣體管道及界定第二氣體管道的圓筒。圓筒與第一氣體管道共同地界定位於圓筒的外側表面以及第一氣體管道的內側表面之間的流動管道。流動管道與第三閥的出口以及第二氣體管道的第一末端流體連通。第三氣體管道與第二氣體管道、與第二閥的出口、且與處理腔室的氣體分配裝置流體連通。

Description

在原子層沉積期間透過化學品的受控分離與輸送操作而達成 低瑕疵處理的系統與方法 [相關申請案之交互參照]
本申請案主張2015年7月15日申請之美國臨時專利申請案第62/192844號。前面引述的申請案以全文併入本案之參考資料。
本發明係關於基板處理系統,且更具體而言,係關於用以在基板處理期間將氣體輸送到處理腔室的系統與方法。
提供於本文之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
用以執行沉積及/或蝕刻的基板處理系統一般包括具有支座的處理腔室。基板(例如半導體晶圓)可在處理期間設置在支座上。在原子層沉積(ALD)或原子層蝕刻(ALE)處理中,可將不同的氣體混合物依序地引入處理腔室中然後 將之抽空。重複執行此過程複數次,以沉積薄膜或蝕刻基板。在一些ALD或ALE基板處理系統中,可在一步驟或兩步驟期間使用射頻(RF)電漿來活化化學反應。
在ALD處理的第一步驟期間可將第一反應物氣體供應到處理腔室中。在預設時期之後,可將反應物從處理腔室中移除。在ALD處理的第二步驟期間,可將第二反應物氣體供應到處理腔室中。在第二步驟期間,可使用或不使用電漿來啟動(initiate)化學反應。在第二步驟之後,可將反應物從處理腔室中移除。通常重複執行第一與第二步驟複數次以沉積薄膜。
使用ALD或ALE來沉積薄膜或蝕刻基板所需的處理時間,大程度地取決於反應物氣體可以多快地被供應及從處理腔室中抽空。因此存在快速地供應及抽空反應物氣體以縮短處理時間的動機。然而,若反應物氣體在氣體供應管路中重疊,反應物氣體之間可能發生不樂見的反應,而造成基板瑕疵。黏滯性的反應物氣體或不同反應物氣體之間不足的時間量,可能導致反應物氣體在氣體管路中重疊。
目前,使用時間性分離與高的流動速率。在高的壓力下,氣體開啟及關閉的切換可能在氣體管路及/或下游氣體分配裝置中引起壓力瞬變,而造成額外的基板瑕疵。
用於基板處理系統的一氣體輸送系統包括第一閥,該第一閥包括一入口與一出口。該第一閥的入口與第一氣體來源流體連通。第二閥包括第一入口、第二入口、及一出口。該第二閥的第一入口與該第一閥的出口流體連通,且該二入口與第二氣體來源流體連通。第三閥包括一入口與一出口。該第三閥的入口與第三氣體來源流體連通。一連接件包含第一氣體管道及一圓筒,該圓 筒界定具有第一末端及第二末端的第二氣體管道。該圓筒至少局部地設置在該第一氣體管道中,使得該圓筒與該第一氣體管道共同地界定一流動管道,該流動管道位於該圓筒的外側表面以及該第一氣體管道的內側表面之間。該流動管道與該第三閥的出口以及該第二氣體管道的第一末端流體連通。第三氣體管道與該第二氣體管道的第二末端、與該第二閥的出口、且與一處理腔室的一氣體分配裝置流體連通。
在其他特徵中,該第一氣體來源包括一沖洗氣體來源。該第二氣體來源包括一前驅物氣體來源。第四閥包括一入口與一出口。該第四閥的入口與第四氣體來源流體連通。該第四閥的出口與該流動管道流體連通。該第四氣體來源包括一清潔氣體來源。該清潔氣體來源包括遠端電漿清潔(RPC)氣體。
在其他特徵中,該第三氣體來源包括一氧化氣體來源。該基板處理系統執行原子層沉積。一控制器配置以控制該第一閥、該第二閥、及該第三閥。該控制器配置以進行如下操作:在第一預設時期使用該第一閥與該第二閥從該第二氣體來源供應前驅物氣體;在第二預設時期使用該第一閥與該第二閥從該第一氣體來源供應沖洗氣體;並且在第三預設時期使用該第三閥從該第三氣體來源供應氧化氣體。
在其他特徵中,該第一預設時期相當於一原子層沉積(ALD)處理的一用劑階段。該第二預設時期相當於該ALD處理的一突發沖洗階段。該第三預設時期相當於該ALD處理的一用劑沖洗階段、一RF階段、及一RF沖洗階段。
在其他特徵中,該第四閥與該連接件之間的距離介於10吋與40吋之間。該第四閥與該連接件之間的距離小於5吋。
用以供應氣體至一基板處理系統的一方法包含下列步驟:選擇性地使用第一閥從第一氣體來源供應氣體;選擇性地使用第二閥從該第一氣體來源或第二氣體來源供應氣體;選擇性地使用第三閥從第三氣體來源供應氣體;並且設置一連接件,包含:第一氣體管道;一圓筒,其界定具有第一末端及第二末端的第二氣體管道;其中該圓筒至少局部地設置在該第一氣體管道中,使得該圓筒與該第一氣體管道共同地界定一流動管道,該流動管道位於該圓筒的外側表面以及該第一氣體管道的內側表面之間;其中該流動管道與該第三閥的出口以及該第二氣體管道的第一末端流體連通;以及第三氣體管道,其與該第二氣體管道的第二末端、與該第二閥的出口、且與一處理腔室的一氣體分配裝置流體連通。
在其他特徵中,該第一氣體來源包括一沖洗氣體來源。該第二氣體來源包括一前驅物氣體來源。該方法包含選擇性地使用第四閥從第四氣體來源供應氣體,該第四閥具有與該流動管道流體連通的一出口。該第四氣體來源包括一清潔氣體來源。該清潔氣體來源包括遠端電漿清潔(RPC)氣體。
在其他特徵中,該第三氣體來源包括一氧化氣體來源。該基板處理系統執行原子層沉積。該方法包含使用一控制器來控制該第一閥、該第二閥、及該第三閥。
該控制器配置以在第一預設時期使用該第一閥與該第二閥從該第二氣體來源供應前驅物氣體。該控制器配置以在第二預設時期使用該第一閥與該第二閥從該第一氣體來源供應沖洗氣體。該控制器配置以在第三預設時期使用該第三閥從該第三氣體來源供應氧化氣體。
在其他特徵中,該第一預設時期相當於一原子層沉積(ALD)處理的一用劑階段;該第二預設時期相當於該ALD處理的一突發沖洗階段;且該第三預設時期相當於該ALD處理的一用劑沖洗階段、一RF階段、及一RF沖洗階段。
在其他特徵中,該第四閥與該連接件之間的距離介於10吋與40吋之間。該第四閥與該連接件之間的距離小於5吋。
從詳細的實施方式、申請專利範圍,以及圖式,本發明的實用性的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範圍。
1:系統
2:腔室
4:上電極
6:ESC
8:基板
9:氣體分配裝置
10:底板
12:熱板
14:熱阻隔層
16:管道
20:RF產生系統
22:RF產生器
30:氣體輸送系統
32:氣體來源
34:閥
36:質流控制器
40:歧管
41:氣體分離系統
42:溫度控制器
43:清潔氣體來源
44:TCEs
46:組件
50:閥
52:泵浦
60:控制器
70:機器人
72:負載鎖室
74:閥組件
76:閥
78:閥
80:閥
82:閥
83:氣體管路
84:肘部連接件
86:閥
87:氣體分離系統
88:閥組件
90:閥
92:閥
94:閥
96:閥
98:閥
100:肘部連接件
102:閥
102A:閥
102B:閥
104:入口
105:連接件
120:閥組件
122:第一連接件
124:第二連接件
130:主體
132:第一氣體管道
133:入口
134:出口
136:主體
138:第二氣體管道
139:入口
140:出口
144:管道
146:圓筒
147:末端
150:空腔
154:第三氣體管道
156:配件/閥
160:加熱器
200:系統
204:閥
300:方法
304:操作
306:操作
310:操作
314:操作
318:操作
320:操作
322:操作
328:操作
從該詳細的實施方式與隨附圖式,將會更完整地了解本發明,在其中:圖1為根據本發明之基板處理系統的功能方塊圖;圖2為氣體輸送系統之範例的示意圖;圖3為例示性原子層沉積處理的時程圖;圖4為根據本發明之另一例示性氣體輸送系統的示意圖;圖5為根據本發明之連接件的部分立體剖面圖;圖6為根據本發明之另一例示性氣體輸送系統的示意圖;圖7圖解理想化的氣體輸送系統之閥的時程;圖8圖解根據本發明之圖4的氣體輸送系統的閥的時程;圖9圖解根據本發明之圖6的氣體輸送系統的閥的時程;及圖10為一流程圖,圖解根據本發明之用以供應氣體的方法之範例。
在該等圖式中,參考數字可重複使用來註記相似及/或相同的元件。
在一些範例中,根據本發明之氣體輸送系統與方法增進了基板處理系統的氣體管路中第一反應物氣體相對於第二反應物氣體的分離,而減少基板瑕疵。在一些範例中,可將連續的沖洗氣體流量供應到下游之連接件的入口(引入第二氣體之處)。
在基板處理系統的氣體管路中反應物氣體的空間性分離有助於減少基板瑕疵。空間性分離克服了關於唯獨時間上分離的問題。透過提供連續的沖洗氣體流量到下游之連接件的入口,並設置相對於第一反應物氣體而遠端地供應第二反應物氣體的一閥,亦可控管壓力瞬變。若分配給第一反應物氣體與第二反應物氣體之間的空間性分離的時間量不足,則反應的風險仍存在。然而,氣體反應物被混合的位置以及在混合位置處的壓力可受控制,且反應可受控管。
空間性分離透過考量(allow for)關於沖洗時間的製程發展上的容限(margin),而增進了氣體輸送系統的穩固性。物理性分離之使用,可與受閥時程所控制的時間性分離加以組合。此組合有助於獨立於氣體管路保護而使處理腔室沖洗最佳化。
現參考圖1,呈現例示性基板處理系統1。雖然前述範例以電漿增強原子層沉積(PEALD)作為背景來描述,但本發明可應用於其他的基板處理系統中,例如化學氣相沉積(CVD)、PECVD、ALE、ALD、及PEALE。基板處理系統1包括將基板處理系統1之其他元件圍起且包含RF電漿(若使用)的處理腔 室2。基板處理系統1包括上電極4,以及靜電卡盤(ESC)6或其他基板支持器。在操作期間,基板8設置在ESC6上。
僅作為範例,上電極4可包括引導並分配處理氣體的氣體分配裝置9(例如噴淋頭)。氣體分配裝置9可包括一桿部,該桿部包括連接到該處理腔室之頂部表面的一末端。一基座部分為大致上圓柱狀,且從該桿部之相對末端徑向朝外延伸,該相對末端係位於與該處理腔室之頂部表面分隔之處。噴淋頭的基座部分之面向基板的表面或面板,包括處理氣體與沖洗氣體流經的複數孔洞。替代地,上電極4可包括傳導板,且可以另一方式引導處理氣體。
ESC6包括做為下電極的傳導底板10。傳導底板10支持熱板12,其可相當於陶瓷多區熱板。熱阻隔層14可設置在熱板12與底板10之間。底板10可包括用以使冷卻液流經底板10的一或多個冷卻液管道16。
RF產生系統20產生並輸出RF電壓至上電極4與下電極(例如ESC6的底板10)中的其中一者。上電極4與底板10中的另一者可DC接地、AC接地、或未接地。僅作為範例,RF產生系統20可包括產生RF功率的RF產生器22,RF功率被匹配與分配網絡24饋送至上電極4或底板10。在其他範例中,可感應地或遠端地產生電漿。
一或更多氣體輸送系統30-1、30-2、...、及30-M(合稱為氣體輸送系統30)包括一或更多氣體來源32-1、32-2、...、及32-N(合稱為氣體來源32),其中M及N為大於零的整數。氣體來源32被閥34-1、34-2、...、及34-N(合稱為閥34)以及質流控制器36-1、36-2、...、及36-N(合稱為質流控制器36)連接至歧管40。歧管40之一輸出被饋送至氣體分離系統41。雖然顯示特定之氣體輸送系統30-1,但可使用任何適當的氣體輸送系統來輸送氣體。一或更多 額外的氣體輸送系統30-2、...、及30-M與氣體分離系統41流體連通。清潔氣體來源43(例如遠端電漿清潔(RPC)氣體)亦可與氣體分離系統41流體連通。
溫度控制器42可連接到設置在熱板12中的複數熱控制元件(TCEs)44。溫度控制器42可用於控制複數TCEs44,進而控制ESC6與基板8的溫度。溫度控制器42可與冷卻液組件46交流以控制管道16中的冷卻液流動。例如,冷卻液組件46可包括冷卻液泵浦與儲存器。溫度控制器42操作冷卻液組件46以選擇性地使冷卻液流經管道16而冷卻ESC6。
可使用閥50與泵浦52將反應物從處理腔室2中抽空。可使用系統控制器60來控制基板處理系統1的元件。可使用機器人70來將基板輸送至ESC6上及將基板從ESC6上移開。例如,機器人70可在ESC6與負載鎖室72之間傳送基板。
現參考圖2,顯示氣體分離系統41之一範例包括閥組件74,閥組件74包括由氣體管路83連接的複數閥76、78、80、及82。閥76的一入口連接至一沖洗氣體來源,而閥76的一出口連接至閥78的一入口。閥78的另一入口連接至反應物氣體(如氧化氣體)來源。閥78的一出口連接至閥80的一入口。閥80的另一入口連接至反應物氣體(如前驅物氣體)來源。
閥80的一出口連接至肘部連接件84,其連接至閥86的一出口及處理腔室。閥86的一入口連接至清潔氣體(例如遠端電漿清潔(RPC)氣體)來源。閥82具有連接至該前驅物氣體的一入口以及一出口。
在操作期間,可選擇性地使用閥80(從前驅物氣體入口到出口的連接關閉)及82(開啟)將前驅物氣體轉向歷時一預設時期。轉向之後,使用閥80(從前驅物氣體入口到出口的連接開啟)及82(關閉)將前驅物氣體供應至處理 腔室歷時一預設時期,然後結束前驅物氣體之供應。使用閥76、78、及80將沖洗氣體供應至處理腔室,然後結束。使用閥78及80將氧化氣體供應至處理腔室。可以想見,使用若干與氧化氣體相同的氣體管路83及閥將前驅物氣體供應至處理腔室。
現參考圖3,顯示圖2之閥的操作。在用劑階段之前,可首先供應沖洗氣體並使用閥80及82將沖洗氣體轉向。在一預設時期之後,設置閥80及82以經由肘部連接件84將前驅物氣體供應至處理腔室(用劑階段)。在用劑階段結束時,閥80停止供應前驅物氣體並且經定位以供應沖洗氣體。在突發沖洗階段期間,經由閥76、78、80及肘部連接件84將沖洗氣體供應至處理腔室。在突發沖洗階段結束時,關閉閥76。使用閥78、80及肘部連接件84在用劑沖洗、RF、及RF沖洗階段期間將氧化氣體供應至處理腔室。
前驅物氣體與氧化氣體兩者係使用同組的閥與氣體管路來供應,但時間上係分離地供應。時間性分離仰賴高的流動速率及充足的時間,以在前驅物氣體流動與氧化氣體流動之間完整地清潔氣體管路83。黏滯性前驅物或不足的分配時間量均可能導致因氣體管道內的反應而造成的瑕疵形成。此外,高的流動速率、及氧化物、沖洗氣體、與前驅物氣體之間的轉換可能在氣體管道及氣體分配裝置中引起壓力瞬變。
現參考圖4,氣體分離系統87包括閥組件88及氣體管路83,閥組件88包括複數閥90、92、94、及96。閥90的一入口連接至一沖洗氣體來源,而閥90的一出口連接至閥92的一入口。在一些範例中,沖洗氣體包括氦氣、氬氣、或其他惰性氣體。閥92的一出口連接至閥94的一入口。閥94的另一入口連接至反應物氣體(如前驅物氣體)。
閥94的一出口連接至肘部連接件100,其連接至閥98的一出口及處理腔室。閥98的一入口連接至處理氣體(例如遠端電漿清潔(RPC)來源)。閥96具有連接至該前驅物氣體的一入口以及一出口。
使用一或更多閥102A及102B(合稱為閥102)將反應物氣體(如氧化氣體)供應至肘部連接件100的入口104。在一些範例中,閥102設置在與肘部連接件100的入口相距介於10吋及40吋之間的距離處。
沖洗氣體亦可被連續地供應至肘部連接件100的入口104、或被選擇性地供應至入口104(在氧化氣體之供應期間或在除了氧化氣體之供應期間之外的其他時間)。「T」型流體連通件105具有流體連通至肘部連接件100的第一接腳;流體連通至閥94的出口的第二接腳;以及流體連通至處理腔室的第三接腳。在一些範例中,「T」型流體連通件105可由陶瓷製成。
現參考圖5,肘部連接件100的一範例包括與閥組件120連接的第一連接件122以及第二連接件124。關於肘部連接件100的其他細節可見於:共同受讓之美國臨時專利申請案第62/084,856號,申請日為2014年11月26日,案名為「REMOTE PLASMA CLEAN ELBOW CONNECTOR WITH PURGING TO REDUCE ON-WAFER PARTICLES」;以及美國專利申請案第14/805,807號,申請日為2015年7月22日,案名為「VALVE MANIFOLD DEADLEG ELIMINATION VIA REENTRANT FLOW PATH」(代理人案號3585-2US),該等案以全文併入本案之參考資料。
第一連接件122包括界定第一氣體管道132的第一主體130,第一氣體管道132包括入口133與出口134。第二連接件124包括界定第二氣體管道138的第二主體136,第二氣體管道138包括入口139與出口140。第一氣體 管道132的出口134連接到第二氣體管道138的入口139。在一些範例中,第一氣體管道132大致上為「L」型、或肘型。
第一連接件122包括環狀管道144,其設置在第一氣體管道132之與第一連接件122的入口133相鄰的部分周圍。環狀管道144將氣體供應到入口133附近的區域。在一些範例中,可將圓筒146插入與第一連接件122的入口133相鄰的第一氣體管道132中,以界定環狀管道144。圓筒146的末端147在與入口133分隔的位置上與第一氣體管道132之內側表面鄰接。位於主體130與圓筒146的徑向外側表面之間的空腔150界定環狀管道144。
主體130更界定連接到空腔150的第三氣體管道154。可使用配件或閥156將第三氣體管道154連接到一氣體來源。氣體被供應到第三氣體管道154與環狀管道144。氣體經過環狀沖洗管道144流到入口133附近的區域。氣體經過第一氣體管道132流到第二氣體管道138。氣體係在遠端電漿清潔期間(當RPC閥供應RPC氣體時)供應。在一些範例中,氣體係在使用前驅物氣體之用劑期間供應及/或在氧化氣體的供應期間供應。
在一些範例中,使用加熱器160將環狀管道144周圍區域中的溫度維持在預定之最低溫度。更具體而言,加熱器160可連接到主體130並且可用以將該主體(至少包括盲管段容積的部分)加熱至高於氣體之凝結溫度的一溫度。在一些範例中,將該溫度維持在約65℃以上的預設溫度,然而該溫度可根據所使用之氣體的類型以及所使用之氣體的凝結溫度來變化。
現參考圖6,另一氣體分離系統200包括上述之閥組件88。閥204設置得更靠近肘部連接件100的入口104。在一些範例中,閥204設置在與 肘部連接件100的入口相距小於10吋的距離處。在其他範例中,該距離小於或等於5吋、2.5吋、或1吋。
現參考圖7-9,顯示閥順序與時程的種種時程圖。在圖7中,顯示理想化的閥順序與時程。理想上,前驅物氣體流量在氧化氣體流量開始的同時結束,且無重疊存在。在圖8中,顯示圖4之閥的操作。與在圖2中前驅物與氧化劑之間由於管路的進料時間(charge time)所經歷的重疊相比,存在的重疊較少。在圖9中,顯示圖6之閥的操作。在「T」型流體連通件105中存在些許重疊。
現參考圖10,顯示操作上述之氣體輸送系統之方法300的範例。在304,該方法判斷是否應執行使用遠端電漿清潔(RPC)氣體或另一清潔氣體的清潔。若是,則將基板從處理腔室中移開並供應清潔氣體或RPC氣體歷時一預設清潔時期。
若304為否,控制器判斷是否須執行ALD處理。若306為是,則在310將基板裝載至處理腔室中。此外,在310選擇性地供應第一反應物氣體(例如前驅物氣體)並使之轉向歷時第一預設時期。在314,在第一預設時期之後,將第一反應物氣體(例如前驅物氣體)供應至處理腔室中歷時第二預設時期。
在第二預設時期之後,在318供應沖洗氣體(例如惰性氣體)歷時第三預設時期。在第三預設時期之後,在320供應第二反應物氣體(例如氧化氣體)歷時第四預設時期。在第四預設時期之後,控制器在322判斷是否重複執行ALD處理。若322為是,則控制器回到310。反之,控制器繼續進行到328,選擇性地將基板從處理腔室中移開並回到304。
前文的敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各式各樣的形式執行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與下列之申請專利範圍,其他修改將變得顯而易見。須了解在不改變本發明的原則之下,能依不同的順序(或同時)執行一方法中一或更多的步驟。進一步講,每一實施例於以上係被描述為具有某些特徵,但關於本發明任一實施例而描述之該等特徵的一或更多者可在任何其他實施例中實施,及/或可與任何其他實施例的特徵進行組合,即使該組合並未明確地描述。換句話說,所描述的實施例並非係互相排斥的,且一或更多實施例之間互相的置換仍屬於本發明的範疇。
元件之間(例如,模組、電路元件、半導體層等之間)空間的、或功能的關係係使用各種用語而描述,包含「連接」、「嚙合」、「耦接」、「鄰近」、「接近」、「在頂部上」、「之上」、「之下」、以及「設置」。除非明確地描述成係「直接」的,否則當在以上揭露內容中描述第一及第二元件之間的關係時,該關係可為在第一及第二元件之間沒有其他中間元件出現的直接關係,也可為在第一及第二元件之間存在一或更多中間元件(空間上、或功能上)的間接關係。如在此使用的文字「A、B和C其中至少一者」應解釋為使用非互斥邏輯符號OR的邏輯(A or B or C),且不應解釋為代表「A之至少一者、B之至少一者、及C之至少一者」。
在某些實施例中,一控制器係為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓基座、氣體氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或 基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器編寫程式以控制本文中所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the“cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操 作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介接或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制 器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
83:氣體管路
87:氣體分離系統
88:閥組件
90:閥
92:閥
94:閥
96:閥
98:閥
100:肘部連接件
102A:閥
102B:閥
104:入口
105:連接件

Claims (26)

  1. 一種用於基板處理系統的氣體輸送系統,包含:第一閥,包括一入口與一出口,其中該入口與第一氣體來源流體連通;第二閥,包括第一入口、第二入口、及一出口,其中該第一入口與該第一閥的該出口流體連通,且該第二入口與第二氣體來源流體連通;第三閥,包括一入口與一出口,其中該入口與第三氣體來源流體連通;以及一連接件,包含:第一氣體管道,其具有第一末端及第二末端;一圓筒,其界定第二氣體管道;其中該圓筒至少局部地設置在該第一氣體管道中,使得該圓筒與該第一氣體管道共同地界定一流動管道,該流動管道位於該圓筒的外側表面以及該第一氣體管道的內側表面之間;其中該流動管道與該第三閥的該出口以及該第二氣體管道的一第一末端流體連通;以及第三氣體管道,其與該第二氣體管道的一第二末端、與該第二閥的該出口、且與一處理腔室的一氣體分配裝置流體連通,其中該第一氣體管道的該第一末端與該第二氣體管道的入口流體連通,使得提供至該第一氣體管道的該第二末端中之入口的氣體由該第二末端至該第一末端而流過該第一氣體管道,進入該第二氣體管道的入口,並通過該第二氣體管道而進入該第三氣體管道。
  2. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該第一氣體來源包括一沖洗氣體來源。
  3. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該第二氣體來源包括一前驅物氣體來源。
  4. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,更包含第四閥,包括一入口與一出口,其中該入口與第四氣體來源流體連通,且其中該出口與該流動管道流體連通。
  5. 如申請專利範圍第4項之用於基板處理系統的氣體輸送系統,其中該第四氣體來源包括一清潔氣體來源。
  6. 如申請專利範圍第5項之用於基板處理系統的氣體輸送系統,其中該清潔氣體來源包括遠端電漿清潔(RPC)氣體。
  7. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該第三氣體來源包括一氧化氣體來源。
  8. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該基板處理系統執行原子層沉積。
  9. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,更包含一控制器,配置以控制該第一閥、該第二閥、及該第三閥。
  10. 如申請專利範圍第9項之用於基板處理系統的氣體輸送系統,其中該控制器配置以進行如下操作:在第一預設時期使用該第一閥與該第二閥從該第二氣體來源供應前驅物氣體; 在第二預設時期使用該第一閥與該第二閥從該第一氣體來源供應沖洗氣體;並且在第三預設時期使用該第三閥從該第三氣體來源供應氧化氣體。
  11. 如申請專利範圍第10項之用於基板處理系統的氣體輸送系統,其中:該第一預設時期相當於一原子層沉積(ALD)處理的一用劑階段;該第二預設時期相當於該ALD處理的一突發沖洗階段;並且該第三預設時期相當於該ALD處理的一用劑沖洗階段、一RF階段、及一RF沖洗階段。
  12. 如申請專利範圍第4項之用於基板處理系統的氣體輸送系統,其中該第四閥與該連接件之間的距離介於10吋與40吋之間。
  13. 如申請專利範圍第4項之用於基板處理系統的氣體輸送系統,其中該第四閥與該連接件之間的距離小於5吋。
  14. 一種用以供應氣體至一基板處理系統的方法,包含下列步驟:選擇性地使用第一閥從第一氣體來源供應氣體;選擇性地使用第二閥從該第一氣體來源或第二氣體來源供應氣體;選擇性地使用第三閥從第三氣體來源供應氣體;並且設置一連接件,包含:第一氣體管道,其具有第一末端及第二末端;一圓筒,其界定第二氣體管道; 其中該圓筒至少局部地設置在該第一氣體管道中,使得該圓筒與該第一氣體管道共同地界定一流動管道,該流動管道位於該圓筒的外側表面以及該第一氣體管道的內側表面之間;其中該流動管道與該第三閥的出口以及該第二氣體管道的一第一末端流體連通;以及第三氣體管道,其與該第二氣體管道的一第二末端、與該第二閥的出口、且與一處理腔室的一氣體分配裝置流體連通,其中該第一氣體管道的該第一末端與該第二氣體管道的入口流體連通,使得提供至該第一氣體管道的該第二末端中之入口的氣體由該第二末端至該第一末端而流過該第一氣體管道,進入該第二氣體管道的入口,並通過該第二氣體管道而進入該第三氣體管道。
  15. 如申請專利範圍第14項之用以供應氣體至一基板處理系統的方法,其中該第一氣體來源包括一沖洗氣體來源。
  16. 如申請專利範圍第14項之用以供應氣體至一基板處理系統的方法,其中該第二氣體來源包括一前驅物氣體來源。
  17. 如申請專利範圍第14項之用以供應氣體至一基板處理系統的方法,更包含選擇性地使用第四閥從第四氣體來源供應氣體,該第四閥具有與該流動管道流體連通的一出口。
  18. 如申請專利範圍第17項之用以供應氣體至一基板處理系統的方法,其中該第四氣體來源包括一清潔氣體來源。
  19. 如申請專利範圍第18項之用以供應氣體至一基板處理系統的方法,其中該清潔氣體來源包括遠端電漿清潔(RPC)氣體。
  20. 如申請專利範圍第14項之用以供應氣體至一基板處理系統的方法,其中該第三氣體來源包括一氧化氣體來源。
  21. 如申請專利範圍第14項之用以供應氣體至一基板處理系統的方法,其中該基板處理系統執行原子層沉積。
  22. 如申請專利範圍第14項之用以供應氣體至一基板處理系統的方法,更包含使用一控制器來控制該第一閥、該第二閥、及該第三閥。
  23. 如申請專利範圍第22項之用以供應氣體至一基板處理系統的方法,其中該控制器配置以進行如下操作:在第一預設時期使用該第一閥與該第二閥從該第二氣體來源供應前驅物氣體;在第二預設時期使用該第一閥與該第二閥從該第一氣體來源供應沖洗氣體;並且在第三預設時期使用該第三閥從該第三氣體來源供應氧化氣體。
  24. 如申請專利範圍第23項之用以供應氣體至一基板處理系統的方法,其中:該第一預設時期相當於一原子層沉積(ALD)處理的一用劑階段;該第二預設時期相當於該ALD處理的一突發沖洗階段;並且該第三預設時期相當於該ALD處理的一用劑沖洗階段、一RF階段、及一RF沖洗階段。
  25. 如申請專利範圍第17項之用以供應氣體至一基板處理系統的方法,其中該第四閥與該連接件之間的距離介於10吋與40吋之間。
  26. 如申請專利範圍第17項之用以供應氣體至一基板處理系統的方法,其中該第四閥與該連接件之間的距離小於5吋。
TW105121842A 2015-07-15 2016-07-12 在原子層沉積期間透過化學品的受控分離與輸送操作而達成低瑕疵處理的系統與方法 TWI705153B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562192844P 2015-07-15 2015-07-15
US62/192,844 2015-07-15
US14/805,852 US9631276B2 (en) 2014-11-26 2015-07-22 Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US14/805,852 2015-07-22

Publications (2)

Publication Number Publication Date
TW201712147A TW201712147A (zh) 2017-04-01
TWI705153B true TWI705153B (zh) 2020-09-21

Family

ID=57843186

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105121842A TWI705153B (zh) 2015-07-15 2016-07-12 在原子層沉積期間透過化學品的受控分離與輸送操作而達成低瑕疵處理的系統與方法

Country Status (5)

Country Link
JP (1) JP6976043B2 (zh)
KR (2) KR102620610B1 (zh)
CN (1) CN106356285B (zh)
SG (1) SG10201605682QA (zh)
TW (1) TWI705153B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6803815B2 (ja) * 2017-07-25 2020-12-23 東京エレクトロン株式会社 基板処理装置、及び、基板処理装置の運用方法
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
JP6902991B2 (ja) * 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US11662237B2 (en) * 2018-04-03 2023-05-30 Lam Research Corporation MEMS coriolis gas flow controller
US11021792B2 (en) * 2018-08-17 2021-06-01 Lam Research Corporation Symmetric precursor delivery
JP7116248B2 (ja) 2020-04-03 2022-08-09 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104040689A (zh) * 2011-12-07 2014-09-10 朗姆研究公司 用于清洁气体喷射器的系统和方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136871A (ja) * 1985-12-11 1987-06-19 Canon Inc 光センサ−、その製造方法及びその製造装置
US4660598A (en) * 1986-01-13 1987-04-28 Spraying Systems Co. Diaphragm-type antidrip valve
EP0920657B1 (en) * 1996-08-21 2002-11-13 Fisher Controls International, Inc. Elastomeric element valve
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US7389792B2 (en) * 1998-12-24 2008-06-24 Nl Technologies, Ltd. Dip tube valve assembly
JP3736322B2 (ja) 2000-04-26 2006-01-18 昭和電工株式会社 気相成長装置
JP2009267345A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置
JP5270476B2 (ja) * 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104040689A (zh) * 2011-12-07 2014-09-10 朗姆研究公司 用于清洁气体喷射器的系统和方法

Also Published As

Publication number Publication date
TW201712147A (zh) 2017-04-01
JP2017036493A (ja) 2017-02-16
KR20240004198A (ko) 2024-01-11
KR102620610B1 (ko) 2024-01-02
CN106356285B (zh) 2019-09-17
JP6976043B2 (ja) 2021-12-01
CN106356285A (zh) 2017-01-25
SG10201605682QA (en) 2017-02-27
KR20170009756A (ko) 2017-01-25

Similar Documents

Publication Publication Date Title
TWI705153B (zh) 在原子層沉積期間透過化學品的受控分離與輸送操作而達成低瑕疵處理的系統與方法
TWI689615B (zh) 供應製程氣體及處理半導體晶圓的設備
TWI713477B (zh) 用於基板處理系統的氣體輸送系統
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
TWI777975B (zh) 用以減少泵抽排氣系統中之排出物積累的系統及方法
CN105603390B (zh) 具有主动冷却型格栅的气体分配装置
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US11959172B2 (en) Substrate processing systems including gas delivery system with reduced dead legs
KR20190133282A (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US20180090363A1 (en) Lift pin holder with spring retention for substrate processing systems
US10351953B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20220181128A1 (en) Apparatus for cleaning plasma chambers
US20230374661A1 (en) Showerhead with integral divert flow path
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20230005776A1 (en) Purging spindle arms to prevent deposition and wafer sliding
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔