TWI713477B - 用於基板處理系統的氣體輸送系統 - Google Patents

用於基板處理系統的氣體輸送系統 Download PDF

Info

Publication number
TWI713477B
TWI713477B TW104139048A TW104139048A TWI713477B TW I713477 B TWI713477 B TW I713477B TW 104139048 A TW104139048 A TW 104139048A TW 104139048 A TW104139048 A TW 104139048A TW I713477 B TWI713477 B TW I713477B
Authority
TW
Taiwan
Prior art keywords
gas
valve
gas pipe
pipe
fluid communication
Prior art date
Application number
TW104139048A
Other languages
English (en)
Other versions
TW201631429A (zh
Inventor
卡爾 里瑟
山古特 尚朋
珊卡 史旺明內森
法蘭克 帕斯果
克洛伊 巴爾達塞羅尼
泰德 明歇爾
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201631429A publication Critical patent/TW201631429A/zh
Application granted granted Critical
Publication of TWI713477B publication Critical patent/TWI713477B/zh

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/14Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat
    • F16K7/17Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat the diaphragm being actuated by fluid pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • B08B9/027Cleaning the internal surfaces; Removal of blockages
    • B08B9/032Cleaning the internal surfaces; Removal of blockages by the mechanical action of a moving fluid, e.g. by flushing
    • B08B9/0321Cleaning the internal surfaces; Removal of blockages by the mechanical action of a moving fluid, e.g. by flushing using pressurised, pulsating or purging fluid
    • B08B9/0328Cleaning the internal surfaces; Removal of blockages by the mechanical action of a moving fluid, e.g. by flushing using pressurised, pulsating or purging fluid by purging the pipe with a gas or a mixture of gas and liquid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K11/00Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves
    • F16K11/02Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with all movable sealing faces moving as one unit
    • F16K11/022Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with all movable sealing faces moving as one unit comprising a deformable member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/003Housing formed from a plurality of the same valve elements
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/02Construction of housing; Use of materials therefor of lift valves
    • F16K27/0236Diaphragm cut-off apparatus
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/123Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm the seat being formed on the bottom of the fluid line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/14Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/14Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat
    • F16K7/16Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat the diaphragm being mechanically actuated, e.g. by screw-spindle or cam
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/20Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with a compressible solid closure member
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87788With valve or movable deflector at junction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87877Single inlet with multiple distinctly valved outlets
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87885Sectional block structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87917Flow path with serial valves and/or closures

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Fluid Mechanics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Automation & Control Theory (AREA)

Abstract

用於基板處理系統的氣體輸送系統包括第一閥與第二閥、第一氣體管道、以及柱狀物。第一閥包括第一入口與第一出口。第一出口與基板處理系統的處理腔室流體連通。第二閥包括第二入口與第二出口。柱狀物界定具有第一末端及第二末端的第二氣體管道。柱狀物至少局部地設置在第一氣體管道中,使得柱狀物與第一氣體管道共同地界定流動管道。流動管道與第二氣體管道的第一末端流體連通,且與第一入口流體連通。第三氣體管道與第二氣體管道的第二末端流體連通,且與第二入口流體連通。

Description

用於基板處理系統的氣體輸送系統
[相關申請案之交互參照]
本申請案主張2014年11月26日申請之美國臨時專利申請案第62/084856號,以及2015年7月15日申請之美國臨時專利申請案第62/192859號之權益。引用於前之申請案的全文加入本案之參考資料。
本發明係關於基板處理系統,且更具體而言,係關於氣體到基板處理系統的輸送。
提供於本文之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
用以執行沉積及/或蝕刻的基板處理系統一般包括具有支座的處理腔室。基板(例如半導體晶圓)可在處理期間設置在該支座上。在化學氣相沉積(CVD)或原子層沉積(ALD)處理中,可將包括一或更多前驅物之氣體混合物引入該處理腔室中,以在該基板上沉積薄膜、或蝕刻該基板。在一些基板處理系統 中,可使用射頻(RF)電漿來活化化學反應。使用電漿的CVD與ALD系統被稱為電漿增強CVD(PECVD)與電漿增強ALD(PEALD)。
幾乎每一個沉積處理都有的結果為,不樂見的沉積發生在處理腔室的內側表面並產生薄膜殘餘物。薄膜殘餘物可能隨時間積累,且可能在基板處理期間分解、脫離、或分散在處理腔室中,而增加缺陷。為避免此結果發生,使用遠端電漿清洗(RPC)氣體來週期性地移除薄膜殘餘物,以避免後續汙染。
現參考圖1,呈現氣體輸送組件10包括肘連接件20,其具有界定第一氣體管道24的主體21,而第一氣體管道24具有一入口與一出口。主體21亦界定具有一入口與一出口的第二氣體管道25。第一氣體管道24的該出口連接到第二氣體管道25的中間部分(在交界處26)。在使用時,氣體(例如汽化前驅物氣體、沖洗氣體及/或其他氣體)從第一氣體管道24的入口流到交界處26,並透過第二氣體管道25的下方部分從交界處26流到氣體分配裝置(例如噴淋頭(未圖示))(如路徑27所示)。
第二氣體管道25的入口連接到包括主體37的遠端電漿清洗(RPC)閥組件36。閥構件38設置在主體37的閥腔室39中。在清洗期間,RPC氣體在42處透過連接件50而供應到閥腔室39的入口開口54。若閥構件38定位在開啟位置,則RPC氣體流入與肘連接件20的第二氣體管道25的入口連接的氣體管道56,然後流入該氣體分配裝置。
當氣體沿著路徑27供應、且閥構件38在關閉位置時,氣體輸送組件10具有盲管段容積60,其位於第二氣體管道25的上方部分以及氣體管道56處。盲管段容積60可能將汽化前驅物氣體卡住。盲管段容積中的停滯流量可能凝結成微粒,該等微粒掉落在基板上,而增加缺陷。
現參考圖8,呈現另一氣體輸送組件600包括一或更多閥組件620-1、620-2、....、及620-N(統稱為閥組件620)以及閥歧管628。閥組件620配置以控制進入及離開閥歧管628的流體流量。就這一點而言,閥歧管628包括主體674,而主體674界定一或更多氣體管道676-1、676-2、....、及676-N(合稱為氣體管道676);第一、第二、及第三入口678、680、682、以及第一及第二出口684、685。
第一氣體管道676-1從閥歧管628的第一入口678以及第二閥組件620-2延伸出來,並與閥歧管628的第一入口678以及第二閥組件620-2流體連通。 第二氣體管道676-2從第一氣體管道676-1延伸至第一閥組件620-1。第三氣體管道676-3從第一閥組件620-1延伸至閥歧管628的第一出口684。第四氣體管道676-4從第二閥組件620-2延伸至閥歧管628的第二出口685。
氣體輸送組件600以至少三個模式操作,例如轉向模式、供應模式、及待命模式。可用連續循環的方式來操作氣體輸送組件600,使得轉向模式先於供應模式、供應模式先於待命模式、且待命模式先於轉向模式。在轉向模式中,可使用新的前驅物來取代氣體管道676中的舊的前驅物。在供應模式中,汽化前驅物被供應到處理腔室中。在待命模式中,汽化前驅物未被供應且未被轉向。
當供應汽化前驅物時,關閉第一閥組件620-1並開啟第二閥組件620-2。汽化前驅物氣體透過第一氣體管道676-1從第一入口678供應至第二閥組件620-2。汽化前驅物氣體流經第二閥組件620-2與第四氣體管道676-4,並流入處理腔室或基板處理系統的其他部份中。
在待命模式期間,關閉第一及第二閥組件620-1、620-2,使得來自第一入口678的汽化前驅物流量被擋住。因此,在待命模式期間,汽化前驅物氣體留在第一氣體管道676-1中。在一些情況下,第一氣體管道676-1中停滯的汽化前驅物可能凝結成微粒。在之後進入處理腔室的停滯的汽化前驅物可能造成缺陷。
在供應模式中將汽化前驅物供應至處理腔室之前,將汽化前驅物轉向並捨棄,使得氣體管道676-1中的舊的汽化前驅物被新的前驅物取代。當將汽化前驅物轉向時,開啟第一閥組件620-1並關閉第二閥組件620-2。當汽化前驅物氣體從第一入口678供應經過第一氣體管道676-1時,汽化前驅物氣體透過第二氣體管道676-2、第一閥組件620-1、及第三氣體管道676-3而流出閥歧管628。
雖然該轉向模式提供了若干改良,但並非所有舊的汽化前驅物皆被移除。氣體輸送組件600具有盲管段容積690,其位於第二氣體管道676-2的下游以及第二閥組件620-2的上游。具體而言,在待命模式期間停滯在盲管段容積中的汽化前驅物,並未在轉向模式期間轉向通過第一閥組件620-1。在轉向模式期間卡在盲管段容積690中的汽化前驅物仍然在供應模式期間從第一及第四氣體管道676-1、676-4流入處理腔室中,並在基板中產生缺陷。
用於基板處理系統的氣體輸送系統包括第一氣體管道。柱狀物界定具有第一末端及第二末端的第二氣體管道。該柱狀物至少局部地設置在該第一氣體管道中,使得該柱狀物與該第一氣體管道共同地界定位於該柱狀物的外側表面以及該第一氣體管道的內側表面之間的流動管道。該流動管道與該第二 氣體管道的第一末端流體連通。第三氣體管道與該第二氣體管道的第二末端流體連通。
在其他特徵中,第一閥具有入口與出口。該第一閥的出口與該基板處理系統的處理腔室流體連通。第二閥具有入口與出口。該第一氣體管道與氣體供應器流體連通。該流動管道與該第一閥的該入口流體連通。該第三氣體管道與該第二閥的該入口流體連通。
在一些配置中,該氣體供應器包括汽化前驅物來源。
在一些配置中,該第二閥可操作以將流體流量轉向,而遠離該第一閥的該入口。
在一些配置中,該氣體輸送系統包括配置以將該第一閥與該第二閥開啟及關閉的控制器。
在一些配置中,該控制器配置以在第一操作模式中關閉該第一閥並開啟該第二閥。
在一些配置中,該控制器配置以在第二操作模式中開啟該第一閥並關閉該第二閥。
在一些配置中,該控制器配置以在第三操作模式中關閉該第一閥與該第二閥。
在一些配置中,該第一氣體管道包括與該柱狀物密封地接合的一埠口。
在一些配置中,該第一閥包括閥座,且該第二氣體管道的第一末端係設置在該閥座的上游與該埠口的下游。
在一些配置中,該柱狀物係同心地設置在該第一氣體管道中。
在一些配置中,該氣體輸送系統包括配置以加熱該流動管道的加熱器。
在一些配置中,該柱狀物的外側表面包括徑向朝外延伸的第一凸部及第二凸部,而該第一凸部及第二凸部界定與該第一氣體管道及該第二氣體管道流體連通的孔隙。
在一些配置中,該氣體輸送系統包括控制器,該控制器配置以操作在待命模式中,其中該第一閥與該第二閥阻止汽化前驅物流經該第一閥與該第二閥。
在一些配置中,該氣體輸送系統包括控制器,該控制器配置以操作在轉向模式中,其中該第一閥與該第二閥引導該汽化前驅物通過該第二氣體通道與該第三氣體管道、以及該第二閥。
在一些配置中,該氣體輸送系統包括控制器,該控制器配置以操作在供應模式中,其中該第一閥與該第二閥引導汽化前驅物通過該第一氣體管道以及該第一閥。
在一些配置中,該氣體輸送系統包括控制器,該控制器配置以關閉該第一閥與該第二閥,以阻止汽化前驅物從該氣體供應器流經該第一閥與該第二閥。
在一些配置中,該氣體輸送系統包括控制器,該控制器配置以關閉該第一閥並開啟該第二閥,以將來自該氣體供應器的汽化前驅物轉向通過該第二閥。
在一些配置中,該氣體輸送系統包括控制器,該控制器配置以關閉該第二閥並開啟該第一閥,以將來自該氣體供應器的汽化前驅物供應通過該第一閥,其中該第一閥係配置以將汽化前驅物供應到該處理腔室中。
根據另一態樣,本發明提供用於基板處理系統的閥組件。該閥組件可包含第一流動通道、第二流動通道、第三流動通道、第四流動通道、及閥制動器。第一流動通道可配置以與第一氣體供應器流體連通。第二流動通道可配置以與第二氣體供應器流體連通。第三流動通道可從該第二流動通道延伸至第一出口。第四流動通道可與該第一流動通道流體連通且可配置以與該基板處理系統的處理腔室流體連通。閥制動器可包括可在開啟位置與關閉位置之間移動的隔膜。該第二流動通道可在該關閉位置時與該第三流動通道流體連通,且可在該開啟位置時與該第四流動通道流體連通。
在一些配置中,該第二氣體供應器包括汽化前驅物來源。
在一些配置中,該隔膜可操作以在該關閉位置時阻止該第二流動通道與該第四流動通道之間的流體連通。
在一些配置中,該閥組件包含配置以將該隔膜開啟及關閉的控制器。
在一些配置中,該控制器配置以在第一操作模式與第二操作模式中關閉該隔膜,且配置以在第三操作模式中開啟該隔膜。
在一些配置中,該第二流動通道包括入口以及第二出口,且該第三流動通道從該第一出口延伸至該第二出口。
在一些配置中,該隔膜係配置以密封地接合該第二出口。
在一些配置中,該隔膜係配置以在該關閉位置時,將汽化前驅物流量從該第二流動通道轉向到該第三流動通道。
在一些配置中,該隔膜係配置以在該開啟位置時,將汽化前驅物流量從該第二流動通道供應到該第四流動通道。
在一些配置中,該隔膜係配置以在該開啟位置與該關閉位置時,將沖洗氣體流量從該第一流動通道供應到該第四流動通道。
根據另一態樣,提供用於基板處理系統的閥組件。該閥組件可包含閥制動器以及與該閥制動器耦接的閥主體。該閥主體可從第一末端延伸到第二末端。該第一末端可包括第一、第二、第三、及第四埠口。該第二末端可包括第五、第六、及第七埠口。該第一埠口可透過第一流動通道與該第五埠口流體連通。該第二埠口可透過第二流動通道與該第六埠口流體連通。該第三埠口可透過第三流動通道與該第六埠口流體連通。該第四埠口可透過第四流動通道與該第七埠口流體連通。該第四流動通道可配置以與該基板處理系統之處理腔室流體連通。
在一些配置中,該閥制動器包括與該閥主體的該第二末端密封地接合的隔膜。
在一些配置中,該隔膜係配置以密封地接合該第六埠口,使得該隔膜與該閥主體的該第二末端界定一腔室。
在一些配置中,該腔室包括環狀形構。
在一些配置中,該第一流動通道以及該第四流動通道,與該腔室流體連通,且該第二流動通道與該第三流動通道流體連通。
在一些配置中,該第一流動通道以及該第四流動通道係設置在該第二流動通道以及該第三流動通道的徑向外側之處。
在一些配置中,該閥制動器係配置以在關閉位置時,將汽化前驅物流量從該第二流動通道轉向到該第三流動通道。
在一些配置中,該閥制動器係配置以在開啟位置時,將汽化前驅物流量從該第二流動通道供應到該第四流動通道。
在一些配置中,該閥制動器係配置以在該開啟位置與該關閉位置時,將沖洗氣體流量從該第一流動通道供應到該第四流動通道。
根據另一態樣,本發明提供用於基板處理系統的氣體輸送系統。 該氣體輸送系統可包含第一氣體管道、界定第二氣體管道的柱狀物、第三氣體管道、及第四氣體管道。該第一氣體管道可與第一氣體供應器流體連通。該第二氣體管道可具有入口末端及出口末端。該柱狀物可至少局部地設置在該第一氣體管道中,使得該柱狀物與該第一氣體管道共同地界定位於該柱狀物的外側表面以及該第一氣體管道的內側表面之間的流動管道。該第三氣體管道可包括與該流動管道流體連通的第一末端,以及與第二氣體供應器流體連通的第二末端。該第四氣體管道可從該第一氣體管道延伸出來。該第四氣體管道可與該第二氣體管道的該出口末端流體連通。該第二氣體管道的該入口末端可配置以接收來自該第一氣體供應器的第一流量,以及來自該第二氣體供應器的第二流量。
在一些配置中,該第一氣體供應器包括遠端電漿清洗氣體來源。
在一些配置中,該第二氣體供應器包括沖洗氣體來源。
在一些配置中,該氣體輸送系統可包括與該第一氣體管道流體連通的閥。
在一些配置中,該閥可操作在開啟位置,以允許來自該第一氣體供應器的氣體流量進入該柱狀物的入口末端;且該閥可操作在關閉位置,以阻止氣體流量進入該柱狀物的入口末端。
在一些配置中,該第一氣體管道包括與該柱狀物密封地結合的埠口。
在一些配置中,該閥包括閥座,且該第二氣體管道的該入口末端係設置在該閥座的下游。
在一些配置中,該第一氣體管道包括與該柱狀物密封地結合的埠口。
在一些配置中,該柱狀物係同心地設置在該第一氣體管道中。
在一些配置中,該氣體輸送系統可包括配置以加熱該流動管道的加熱器。
在一些配置中,該柱狀物的該外側表面包括徑向朝外延伸的第一凸部以及第二凸部,且該第一凸部以及第二凸部界定與該第一氣體管道以及該第三氣體管道流體連通的孔隙。
在一些配置中,該氣體輸送系統可包含閥以及控制器。該閥可與該第一氣體管道流體連通。該控制器可配置以關閉該閥,以阻止來自該第一氣體供應器的遠端電漿清洗氣體流經該第二氣體管道;並且開啟該閥,以將來自該第一氣體供應器的遠端電漿清洗氣體供應通過該第二氣體管道。
從詳細的實施方式、申請專利範圍,以及圖式,本發明的實用性 的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範圍。
10:輸送組件
20:肘連接件
21:主體
24:第一氣體管道
25:第二氣體管道
26:交界處
27:路徑
36:遠端電漿清洗(RPC)閥組件
37:主體
38:閥構件
39:閥腔室
42:位置
50:連接件
54:入口開口
56:氣體管道
60:盲管段容積
100:輸送組件/輸送系統
120:RPC閥組件
122:第一連接件
124:第二連接件
130:主體
132:第一氣體管道
133:入口
134:出口
136:主體
138:第二氣體管道
139:入口
140:出口
144:管道
146:柱狀物
147:末端
150:空腔
154:第三氣體管道
156:配件/閥
160:加熱器
162:凸部
500:基板處理腔室
502:處理腔室
504:上電極
506:支座
507:下電極
508:基板
509:噴淋頭
510:RF產生系統
511:RF電壓產生器
512:匹配與分配網絡
530:氣體輸送系統
532:氣體來源
534:閥
536:質流控制器
540:歧管
542:加熱器
550:閥
552:泵浦
560:控制器
580:遠端電漿產生器
600:輸送組件
620:閥組件
628:閥歧管
674:主體
676:氣體管道
678:第一入口
680:第二入口
682:第三入口
684:第一出口
685:第二出口
690:盲管段容積
700:輸送組件
720:閥組件/閥
728:閥歧管
730:閥主體
732:閥致動器
734:入口
736:出口
740:閥主體
742:閥致動器
744:埠口/入口
746:隔膜
748:閥座
774:主體
776:氣體管道
778:入口
780:入口
782:入口
784:出口
790:導管/柱狀物
794:埠口/孔口
796:肘部
802:第一末端
804:第二末端
806:流動通道/氣體管道
808:空間/間隙
809:外側表面
810:環狀流動管道
820:操作
822:操作
824:操作
826:操作
828:操作
830:操作
900:氣體輸送組件
920:閥組件
928:閥歧管
930:閥主體
932:閥制動器
934:第一流動通道
936:第二流動通道
938:第三流動通道
940:第四流動通道
942:第一末端
944:第二末端
946:第一埠口
948:第二埠口
950:第三埠口
952:第四埠口
954:第五埠口
956:第六埠口
958:第七埠口
960:隔膜
962:制動元件
960a:內側部分
960b:中間部分
960c:外側部分
964:周圍邊緣
966:第五流動通道
968:第六流動通道
970:第七流動通道
972:第八流動通道
980:操作
982:操作
984:操作
986:操作
988:操作
990:操作
A:區域
B:區域
C:區域
D:區域
E:區域
F:區域
D1:截面尺寸
D2:截面尺寸
從該詳細的實施方式與隨附圖式,將會更完整地了解本發明,在其中:圖1為根據先前技術的氣體輸送組件的橫剖面圖;圖2為根據本發明的氣體輸送組件之範例的橫剖面圖;圖3為根據本發明的第一連接件之範例的放大橫剖面圖;圖4根據本發明圖解在配量期間通過氣體輸送組件的流量之範例;圖5根據本發明圖解在遠端電漿清洗期間通過氣體輸送組件的流量之範例;圖6為示意圖,根據本發明圖解通往複數氣體分配裝置之氣體輸送之範例;圖7為用以根據本發明執行ALD、PEALD、CVD、或PECVD的基板處理腔室之範例的功能方塊圖;圖8為根據先前技術的氣體輸送組件之透視圖;圖9為根據本發明的氣體輸送組件之範例的剖面圖;圖10A根據本發明為在第一操作模式中的包括柱狀物的氣體管道之範例的橫剖面圖;圖10B根據本發明為在第二操作模式中的包括柱狀物的氣體管道之範例的橫剖面圖;圖11為一流程圖,根據本發明描繪去除閥歧管組件中之盲管段容積的方法之範例; 圖12為根據本發明的閥組件的透視圖;圖13為圖12之閥組件的橫剖面圖;圖14A為圖12之閥組件的橫剖面圖,呈現在第一操作模式中的閥組件;圖14B為圖12之閥組件的橫剖面圖,呈現在第二操作模式中的閥組件;以及圖15為一流程圖,根據本發明描繪去除閥歧管組件中之盲管段容積的方法之範例。
在該等圖式中,參考數字可重複使用來註記相似及/或相同的元件。
根據本發明之氣體輸送組件透過減少或去除盲管段容積中的前驅物凝結現象,而在處理期間減少或去除晶圓上的微粒。僅作為範例,本發明可用於涉及薄膜之原子層沉積(ALD)的處理中。在此應用中,在氣體分配裝置(例如噴淋頭)上方的盲管段容積中的前驅物凝結現象被減少或去除。雖然本發明以ALD作為背景來描述,但根據本發明之氣體輸送組件可用於其他的基板處理應用中,例如CVD、PECVD、PEALD、或其他類型的處理。
在一些範例中,本發明包括第一連接件,其使用環狀沖洗管道將沖洗氣體供應到盲管段容積中。在一些範例中,該沖洗氣體可包括氬、氮、氧、或其中之混合物。可使用其他類型的沖洗氣體。如下進一步描述,沖洗氣體流 量可用以分別地去除前驅物氣體與遠端電漿氣體(例如氟原子)擴散進入第一連接件與環狀沖洗管道的現象。
現參考圖2,呈現氣體輸送組件100包括RPC閥組件120、第一連接件122、及第二連接件124。第一連接件122包括界定第一氣體管道132的第一主體130,第一氣體管道132包括入口133與出口134。第二連接件124包括界定第二氣體管道138的第二主體136,第二氣體管道138包括入口139與出口140。第一氣體管道132的出口134連接到第二氣體管道138的入口139。在一些範例中,第一氣體管道132大致上為「L」型、或肘型。
第一連接件122包括環狀沖洗管道144,其圍繞第一氣體管道132之與第一連接件122的入口133相鄰的部分。環狀沖洗管道144將沖洗氣體供應到入口133附近的區域。在一些範例中,可將柱狀物146插入與第一連接件122的入口133相鄰的第一氣體管道132中,以界定環狀沖洗管道144。柱狀物146的末端147在與入口133分隔的位置上與第一氣體管道132之內側表面鄰接。位於主體130與柱狀物146的徑向外側表面之間的空腔150界定環狀沖洗管道144。
主體130更界定連接到空腔150的第三氣體管道154。可使用配件或閥156將第三氣體管道154連接到一沖洗氣體來源。沖洗氣體被供應到第三氣體管道154與環狀沖洗管道144。沖洗氣體經過環狀沖洗管道144流到入口133附近的區域。沖洗氣體經過第一氣體管道132流到第二氣體管道138。沖洗氣體係在遠端電漿清洗期間(當RPC閥供應RPC氣體時)供應。在一些範例中,沖洗氣體係在使用汽化前驅物氣體的配量期間供應。
在一些範例中,使用加熱器160將環狀沖洗管道144周圍區域中的溫度維持在預定之最低溫度。更具體而言,加熱器160可連接到主體130並且可將該主體(至少包括盲管段容積的部分)加熱至高於前驅物氣體之凝結溫度的一溫度。在一些範例中,將該溫度維持在約65℃以上的預定溫度,然而該溫度可根據所使用之前驅物氣體的類型以及所使用之前驅物氣體的凝結溫度來變化。
現參考圖3,呈現第一連接件122之一範例。柱狀物146可包括定位在其一末端的徑向凸部160。徑向凸部160可完全地或局部地延伸在柱狀物146之外側直徑周圍,以提供一氣體密封。可將二或更多的徑向凸部162定位在柱狀物146的相對末端,該相對末端與入口133相鄰。二或更多的徑向凸部162之間的位置可為開通的,以允許氣體從環狀氣體管道144流到與入口133相鄰的區域。為去除盲管段容積,在配量及/或遠端電漿清洗期間,沖洗氣體分別地經過環狀氣體管道144、被引導至入口133;以及經過第一及第二氣體管道132與138而被引導離開。
現參考圖4與5,呈現氣體輸送系統之操作。在圖4中,呈現前驅物輸送期間之操作。由於環狀氣體管道144中的沖洗氣體流量,汽化前驅物之濃度在註記為A之區域為高的,而在註記為C之區域為低的或不存在。註記為「B」的小的過渡區域(在極低與高的濃度之間過渡)被最小化,且定位在註記為A與C的區域之間。
在圖5中,呈現遠端電漿清洗期間之操作。遠端電漿清洗(RPC)氣體之濃度(例如氟原子)在註記為D的區域極高,而在註記為F的區域極低。註 記為E的過渡區域被最小化,且定位在註記為D與F的區域之間。沖洗氣體在環狀氣體管道144中流動。
上述之氣體輸送組件避免前驅物氣體與RPC氣體(例如氟原子)兩者的逆擴散。在一些範例中,為將沖洗氣體稀釋對於RPC氣體的影響降至最低,可使用計算模型將操作流速最佳化,以將沖洗氣體的流量維持在最小值。 若使用如本文所述之沖洗氣體,可減輕晶圓上的微粒問題,且改良的微粒表現可維持多於100RPC清洗時數。
現參考圖6,針對包括複數氣體分配裝置(例如噴淋頭)的系統,呈現連接肘連接件與加熱器的示意圖之範例。
現參考圖7,呈現用以執行基板處理(例如ALD、CVD、PEALD、或PECVD)的基板處理腔室500之範例。基板處理腔室500包括將基板處理腔室500之其他元件圍起的處理腔室502,且基板處理腔室500包含RF電漿(若使用)。 基板處理腔室500包括上電極504,以及包括下電極507的支座506。在操作期間,基板508設置在支座506上、以及上電極504與下電極507之間。
僅作為範例,上電極504可包括引導並分配處理氣體的噴淋頭509。噴淋頭509可包括一桿部,該桿部包括連接到該處理腔室之頂部表面的一末端。一基座部分為大致上圓柱狀,且從該桿部之相對末端徑向朝外延伸,該相對末端係位於與該處理腔室之頂部表面分隔之處。該噴淋頭的基座部分之面向基板的表面,包括處理氣體與沖洗氣體流經的複數孔洞。替代地,上電極504可包括一傳導板,且可以另一方式引導處理氣體。下電極507可設置在非傳導 性支座中。替代地,支座506可包括一靜電卡盤,該靜電卡盤包括做為下電極507的一傳導板。
RF產生系統510產生並輸出RF電壓至上電極504與下電極507中的其中一者。上電極504與下電極507中的另一者可DC接地、AC接地、或未接地。僅作為範例,RF產生系統510可包括產生RF電壓的RF電壓產生器511,RF電壓被匹配與分配網絡512饋送至上電極504或下電極507。
氣體輸送系統530包括一或更多氣體來源532-1、532-2、...、及532-N(合稱為氣體來源532),其中N為大於零的整數。該等氣體來源供應一或更多前驅物及其混合物。該等氣體來源亦可供應沖洗氣體。在一些範例中,亦可使用汽化前驅物氣體。氣體來源532被閥534-1、534-2、...、及534-N(合稱為閥534)以及質流控制器536-1、536-2、...、及536-N(合稱為質流控制器536)連接至歧管540。歧管540之一輸出被饋送至處理腔室502。僅作為範例,歧管540之輸出可經由前驅物氣體與遠端電漿輸送系統100饋送至噴淋頭509。
加熱器542可連接到設置在支座506中的加熱器線圈(未圖示)。 加熱器542可用於控制支座506與基板508的溫度。可使用閥550與泵浦552將反應物從處理腔室502中抽空。可使用控制器560來控制基板處理腔室500的元件。
遠端電漿產生器580可用於在操作期間將遠端電漿清洗(RPC)氣體供應到前驅物氣體與遠端電漿輸送系統100。控制器560亦可用於控制氣體輸送組件100中的加熱器160,且可用於控制RPC氣體、沖洗氣體、及汽化前驅物的供應。
現參考圖9,根據本發明之原則圖解另一氣體輸送組件700。氣體輸送組件700包括:一或更多閥組件720-1、720-2、....、及720-N(合稱為閥組件720);一控制器(未圖示);閥歧管728;以及導管或柱狀物790。在一些配置中,氣體輸送組件700包括第一閥組件720-1、第二閥組件720-2、第三閥組件720-3、及第四閥組件720-4。已知根據本發明,氣體輸送組件700可包括多於四或少於四個閥組件720。閥組件720可包括任何商業可得之具有氣動式或電氣制動式隔膜的2-埠或3-埠的閥組件。
如下更詳細解釋,閥組件720經配置以選擇性地與閥歧管728流體連通。就這一點而言,如圖9中圖示般,控制器(例如圖7中的控制器560)可視所需而選擇性地開啟及關閉閥組件720-N,以控制氣體輸送組件700中的一或更多流體的流量。具體而言,控制器可選擇性地開啟及/或關閉第一、第二、第三、及/或第四閥組件720-1、720-2、720-3、720-4,以將氣體輸送組件700操作在轉向、供應、及待命操作模式中。
第一閥組件720-1包括閥主體730以及閥致動器732。閥主體730包括入口734與出口736。閥致動器732經配置以控制閥主體730中的流體流量。 具體而言,當閥致動器732在第一或開啟狀態時,閥主體730的入口734與閥主體730的出口736流體連通。當閥致動器732在第二或關閉狀態時,閥主體730的入口734被阻止與閥主體730的出口736流體連通。例如,第一閥組件720-1可包括隔膜(未圖示),使得在關閉狀態時,該隔膜阻止入口734與出口736流體連通。在一些配置中,第一閥組件720-1經配置以將流體(例如汽化前驅物氣體)流量轉 向,而遠離處理腔室(例如處理腔室502)。因此,第一閥組件720-1在本文中被稱為轉向閥組件720-1。
第二閥組件720-2包括閥主體740以及閥致動器742。閥主體740包括第一埠口或入口744、第二埠口或入口(未圖示)、及第三埠口或出口(未圖示)。閥致動器742經配置以控制閥主體740中的流體流量。具體而言,當閥致動器742在第一或開啟狀態時,閥主體740的第一入口744與閥主體740的出口流體連通。當閥致動器742在第二或關閉狀態時,閥主體740的第一入口744被阻止與閥主體740的出口流體連通。例如,第二閥組件720-2可包括隔膜746,使得在關閉狀態時,隔膜746阻止第一入口744及/或第二入口與出口流體連通。就這一點而言,第一入口744可界定閥座748,使得在關閉狀態時,隔膜746密封地接合閥座748。在一些配置中,第二閥組件720-2經配置以控制流體(例如汽化前驅物氣體)通往處理腔室(例如處理腔室502)的流量。因此,第二閥組件720-2在本文中被稱為流量閥組件720-2。
閥歧管728包括界定下列元件之主體774:一或更多氣體管道776-1、776-2、....、及776-N(合稱為氣體管道776);第一、第二、及第三入口778、780、782;第一出口784;以及第二出口(例如圖8之第二出口685)。閥歧管728的第一出口784與第二出口經由氣體管道776以及一或更多閥組件720而流體耦接至第一、第二、及第三入口778、780、782。就這一點而言,閥組件720經配置以選擇性地控制流體從第一、第二、及第三入口778、780、782經過氣體管道776到該第一與第二出口的流量。
第一氣體管道776-1從閥歧管728的第一入口778與第二閥組件720-2的第一入口744延伸出來(且和該兩者流體連通)。第一氣體管道776-1包括一埠口或孔口794。在一些範例中,第一氣體管道776-1包括一肘部796,使得該第一氣體管道界定大致上「L」-型的構造。
參考圖9-10B,柱狀物790包括一大致中空的空腔,其從第一末端802延伸到第二末端804。在一些配置中,第一末端802界定一流體入口,且第二末端804界定一流體出口,使得柱狀物790的大致中空的空腔界定流動通道或氣體管道806,其從第一末端802延伸到第二末端804。在一組合的配置中,柱狀物790的第一末端802係設置在第一氣體管道776-1中,並經配置以與第一氣體管道776-1流體連通。柱狀物790的第二末端804經配置以與第二氣體管道776-2流體連通。具體而言,在一些配置中,柱狀物790的第一末端802係設置在閥主體740中,且柱狀物790的第二末端係設置在第二氣體管道776-2中,或與第二氣體管道776-2流體連通。就這一點而言,柱狀物790的第一末端802偏離(offset from)閥主體740的入口744及/或閥座748,使得第一末端802與閥座748界定軸向延伸的空間或間隙808。具體而言,柱狀物790的第一末端802位於閥座748的上游。
如圖10A與10B中圖示般,柱狀物790的至少一部分係設置在第一氣體管道776-1中,使得柱狀物790延伸穿過孔口794。就這一點而言,柱狀物790可密封地設置在孔口794中。如圖10A中圖示般,柱狀物790的外側表面809界定截面尺寸D1,截面尺寸D1小於第一氣體管道776-1的至少一部分及/或入口744的截面尺寸D2。因此,在組合的配置中,柱狀物790與第一氣體管道776-1及/或入口744界定位於兩者之間的環狀流動管道810。
在一些配置中,柱狀物790與第一氣體管道776-1之至少一者包括圓形截面,使得截面尺寸D1、D2分別地界定柱狀物790及/或第一氣體管道776-1的直徑。柱狀物790可同心地設置在第一氣體管道776-1及/或入口744中,使得環狀流動管道810係相對於柱狀物790而對稱地設置。雖然整體上,柱狀物790在本文中呈現並描述為圓柱體,但已知的係,在本發明的範疇內,柱狀物790的截面可界定其他的形狀。例如,在一些配置中,在本發明的範疇內,柱狀物790可界定橢圓形、三角形、矩形、或其他的多角形。
第二氣體通道776-2從柱狀物790的第二末端804延伸到第一閥組件720-1的入口734。在一些配置中,第二氣體通道776-2從孔口794延伸到第一閥組件720-1的入口734。第三氣體通道776-3從第一閥組件720-1的出口736延伸到閥歧管728的第一出口784。第四氣體通道(未圖示)從第二閥組件720-2的出口延伸到閥歧管728的第二出口。
現參考圖9-10B及11描述操作氣體輸送組件700的方法。該方法可包括至少三個操作模式(包括轉向模式、供應模式、及待命模式)的連續循環。 就這一點而言,轉向模式可先於供應模式;供應模式可先於待命模式;且待命模式可先於轉向模式。在轉向模式中,使用汽化前驅物來取代氣體管道776中舊的前驅物。在供應模式中,將汽化前驅物供應到處理腔室中。在待命模式中,汽化前驅物未被供應且未被轉向。
如圖11中呈現般,操作閥的方法始於820。在822,關閉第一及第二閥組件720-1、720-2,使得第一氣體管道776-1中的流量被擋住。就這一點而言,822可對應到待命操作模式。若閥720-1、720-2維持在待命模式中持 續足量的時間,則汽化前驅物氣體將停滯在閥歧管728的第一氣體管道776-1及/或第二閥組件720-2的第一入口744中。
在824,該方法決定是否需要將汽化前驅物供應到基板處理腔室。 若824為否,該方法回到822。若824為是,該方法繼續進行到826,其中將第一及第二閥組件720-1、720-2定位在轉向操作模式持續一預定時期。
具體而言,在826,開啟第一閥組件720-1並關閉第二閥組件720-2。就這一點而言,隔膜746鄰接、或者密封地接合閥座748。因此,在轉向操作模式期間,環狀流動管道810將流體從第一氣體管道776-1供應到柱狀物790的第一末端802。例如,流體可以第一方向流經環狀流動管道810。在閥座,流體被隔膜746重新定向而流經氣體管道806。例如,流體可以與第一方向相反的第二方向流經氣體管道806。氣體管道806將流體從環狀流動管道810供應到第二氣體管道776-2。第二氣體管道776-2將流體從氣體管道806供應到第一閥組件720-1,其中流體可透過第三氣體管道776-3被引導至基板處理系統內部的許多位置,或被引導離開基板處理系統。因此,在轉向操作模式期間,柱狀物790、以及分別地以第一及第二方向在環狀流動管道810及氣體管道806中流動的流體,避免流體被卡住、或者停滯在第一氣體管道776-1中。具體而言,柱狀物790、以及以第一及第二方向在環狀流動管道810及氣體管道806中流動的流體,避免流體停滯在孔口794及第二流動管道776-2的下游、以及第二閥組件720-2的上游。
在該預定時期之後,在828將第一及第二閥組件720-1、720-2定位在供應操作模式。具體而言,關閉第一閥組件720-1並開啟第二閥組件720-2。 就這一點而言,將隔膜746從閥座748移開。因此,在供應操作模式期間,環狀流動管道810將流體從第一氣體管道776-1供應到第二閥組件720-2的入口744。 從第二閥組件720-2,流體可經由閥歧管728的其他氣體管道(例如圖8中的第四氣體管道676-4)被引導至基板處理系統內的許多位置,例如處理腔室502(圖7)。
在830,該方法決定是否停止汽化前驅物到基板處理腔室的供應。若830為否,該方法回到828,其中第一及第二閥組件720-1、720-2維持在供應操作模式。若830為是,該方法回到822,其中將第一及第二閥組件720-1、720-2定位在待命操作模式。
現參考圖12-14B,根據本發明之原則圖解另一氣體輸送組件900。 氣體輸送組件900包括閥組件920及閥歧管928。閥組件920包括閥主體930以及與閥主體930耦接的閥制動器932。閥主體930界定第一、第二、第三、及第四流動通道934、936、938、940,該者從閥主體930的第一末端942延伸到閥主體930的第二末端944。
第一流動通道934從閥主體930的第一末端942中的第一埠口946延伸到閥主體930的第二末端944中的第二埠口948。第二流動通道936從閥主體930的第一末端942中的第三埠口950延伸到閥主體930的第二末端944中的第四埠口952。第三流動通道938從閥主體930的第二末端944中的第四埠口952延伸到閥主體930的第一末端942中的第五埠口954。第四流動通道940從閥主體930的第一末端942中的第六埠口956延伸到閥主體930的第二末端944中的第七埠口958。
如圖14A與14B所圖示般,第四埠口952定位在第一、第二、第三、第五、第六、及第七埠口946、948、950、954、956、958之徑向內側之處。就這一點而言,第四埠口952可相對於閥主體930的第二末端944而定位在中心。第二與第六埠口948、956定位在第一與第七埠口946、958之徑向內側之處,且第三與第五埠口950、954定位在第二與第六埠口948、956之徑向內側之處。因此,在一些配置中,第二及第三流動通道936、938界定大致上V-型的配置,該V-型的配置定位在第一及第四流動通道934、940之徑向內側之處。具體而言,第一、第二、第三、及第四流動通道934、936、938、940之各者可在平行於第一、第二、第三、及第四流動通道之其他者的方向上延伸。
第一流動通道934與第一流體來源(例如,沖洗氣體來源,未圖示)流體連通。第二流動通道936與第二流體來源(例如,汽化前驅物氣體來源)流體連通。第三流動通道938與氣體輸送組件900的另一部分流體連通,例如另一閥組件(例如,圖9中的轉向閥組件720-1)。第四流動通道與基板處理系統的另一部分(例如圖7中的處理腔室502)流體連通。
如下更詳細解釋般,在供應操作模式,閥制動器932經配置以控制第一及第二流體從第一及第二流體通道934、936到第四流體通道940的流量。 在轉向操作模式,閥制動器932經配置以控制第一流體從第一流體通道934到第四流體通道940的流量,以及第二流體從第二流體通道936到第三流體通道938的流量。具體而言,當閥制動器932在第一或開啟狀態時,第一及第二流體通道934、936與第四流體通道940流體連通。當閥制動器932在第二或關閉狀態時,第一流體通道934與第四流體通道940流體連通,且避免與第二及第三流體通道936、938 流體連通。同樣地,當閥制動器932在第二或關閉狀態時,第二流體通道936與第三流體通道938流體連通,且避免與第一及第四流體通道934、940流體連通。
閥制動器932包括隔膜960與制動元件962。隔膜960係設置在閥主體930的第二末端944,且包括內側部分960a;中間部分960b,位在內側部分960a之徑向外側之處;以及外側部分960c,位在中間部分960b之徑向外側之處。 外側部分960c可界定隔膜的周圍邊緣964。在一些配置中,隔膜960可包括大致上圓形的形狀,使得周圍邊緣964大致上界定一圓形。在一組合的配置中,外側部分960c與閥主體930的第二末端944密封地接合。具體而言,外側部分960c可在位於第二、第四、及第六埠口948、952、956之徑向外側的位置與閥主體930的第二末端944密封地接合。中間部分960b徑向對齊於第二及第六埠口948、956。內側部分960a徑向對齊於第四埠口952。
參考圖12,閥歧管928包括第五、第六、第七、第八流動通道966、968、970、972。第五流動通道966與第一流動通道934流體連通。第六流動通道968與第二流動通道936流體連通。第七流動通道970與第三流動通道938流體連通。第八流動通道972與第四流動通道940流體連通。
現參考圖12、14A、14B、及15描述氣體輸送組件900的操作方法。該方法可包括至少三個操作模式(包括轉向模式、供應模式、及待命模式)的連續循環。就這一點而言,轉向模式可先於供應模式;供應模式可先於待命模式;且待命模式可先於轉向模式。在轉向模式中,使用汽化前驅物來取代第二及/或第三流動通道936、938中舊的前驅物。在供應模式中,將汽化前驅物供應到處理腔室中。在待命模式中,汽化前驅物未被供應且未被轉向。
如圖15中呈現般,該方法始於980。在982,閥組件920在關閉狀態(圖14B)。例如,可氣動地或電氣地控制制動元件962,以關閉第四埠口952。 就這一點而言,隔膜960鄰接、或者密封地接合閥主體930的第二末端944。隔膜960的內側部分960a可密封地接合閥主體930的第二末端944,以避免第二及第三流動通道936、938與第四流動通道940流體連通。在待命操作模式中,隔膜960的中間部分960b以及閥主體930的第二末端944界定具有環狀形構的腔室976。因此,在待命模式期間,汽化前驅物將停滯在閥主體930的第二流動通道936中。
在984,該方法決定是否需要將汽化前驅物供應到基板處理腔室。 若984為否,該方法回到982。若984為是,該方法繼續進行到在986的轉向操作模式,且閥組件920維持在關閉狀態。
在轉向模式期間,第一流動通道934將第一流體(例如沖洗氣體)供應到腔室976,而腔室976將該第一流體供應到第四流動通道940。第二流動通道936將第二流體(例如汽化前驅物氣體)供應到第三流動通道938,並且隔離於第四流動通道940。第四流動通道940可將該第一流體供應到基板處理系統中的許多位置,例如處理腔室。第三流動通道938將該第二流體供應(或轉向)至基板處理系統中的許多位置(例如圖9中的轉向閥組件720-1)、或離開基板處理系統。就這一點而言,在轉向操作模式期間,由第二流動通道936供應的流體,使停滯的汽化前驅物從第三流動通道938移除。
該方法繼續進行到988,其中在供應操作模式中開啟閥組件920(圖14A),例如,可氣動地或電氣地控制制動元件,以開啟第四埠口952。就這 一點而言,將隔膜960的內側與中間部分960a、960b從閥主體930的第二末端944移開。因此,在供應操作模式期間,第一、第二、第三、第四、第五、第六、第七、及第八流動通道934、936、938、940、966、968、970、972與腔室976流體連通。第一及/或第二流動通道934、936可將流體供應到腔室976、並且到第四流動通道940。從第四流動通道940,流體可被引導至基板處理系統內的許多位置,例如處理腔室502(圖7)。
在990,該方法決定是否停止汽化前驅物到基板處理腔室的供應。 若990為否,該方法回到988,其中閥組件920維持在供應操作模式。若990為是,該方法回到982,其中將閥組件920定位在待命操作模式。
前文的敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各式各樣的形式執行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與下列之申請專利範圍,其他修改將變得顯而易見。如在此使用的文字「A、B和C其中至少一者」應解釋為使用非互斥邏輯符號OR的邏輯(A or B or C),且不應解釋為代表「A之至少一者、B之至少一者、及C之至少一者」。須了解在不改變本發明的原則之下,能依不同的順序(或同時)執行一方法中一或更多的步驟。
在某些實施例中,一控制器係為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓基座、氣體氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」, 其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器編寫程式以控制本文中所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the“cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達 成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
100:輸送組件/輸送系統
120:RPC閥組件
122:第一連接件
124:第二連接件
130:主體
132:第一氣體管道
133:入口
134:出口
136:主體
138:第二氣體管道
139:入口
140:出口
144:管道
146:柱狀物
147:末端
150:空腔
154:第三氣體管道
156:配件/閥
160:加熱器

Claims (34)

  1. 一種用於基板處理系統的氣體輸送系統,該氣體輸送系統包含:第一氣體管道;一柱狀物,其界定具有第一末端及第二末端的第二氣體管道;該柱狀物至少局部地設置在該第一氣體管道中,使得該柱狀物與該第一氣體管道共同地界定一流動管道,該流動管道位於該柱狀物的外側表面以及該第一氣體管道的內側表面之間;該流動管道與該第二氣體管道的該第一末端流體連通;第三氣體管道,其與該第二氣體管道的該第二末端流體連通,其中該第二氣體管道的該第一末端與該第一氣體管道流體連通,使得被提供至該第一氣體管道之入口的氣體流過界定於該柱狀物的該外側表面與該第一氣體管道的該內側表面之間的該流動管道、由該第一末端往該第二末端通過該第二氣體管道、並進入該第三氣體管道;第一閥,其具有一入口與一出口,其中該第一閥的該出口與該基板處理系統的一處理腔室流體連通;以及第二閥,其具有一入口與一出口,其中該第一氣體管道與一氣體供應器流體連通,其中該流動管道與該第一閥的該入口流體連通;並且其中該第三氣體管道與該第二閥的該入口流體連通。
  2. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該氣體供應器包括一汽化前驅物來源。
  3. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該第二閥可操作以將流體流量轉向,而遠離該第一閥的該入口。
  4. 如申請專利範圍第3項之用於基板處理系統的氣體輸送系統,更包含配置以將該第一閥與該第二閥開啟及關閉的一控制器,其中該控制器配置以在第一操作模式中關閉該第一閥並開啟該第二閥,其中該控制器配置以在第二操作模式中開啟該第一閥並關閉該第二閥,且其中該控制器配置以在第三操作模式中關閉該第一閥與該第二閥。
  5. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該第一氣體管道包括與該柱狀物密封地接合的一埠口。
  6. 如申請專利範圍第5項之用於基板處理系統的氣體輸送系統,其中該第一閥包括一閥座,且其中該第二氣體管道的該第一末端係設置在該閥座的上游與該埠口的下游。
  7. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該柱狀物係同心地設置在該第一氣體管道中。
  8. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,更包含配置以加熱該流動管道的一加熱器。
  9. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,其中該柱狀物的該外側表面包括徑向朝外延伸的第一凸部以及第二凸部,且其中該第一凸部以及第二凸部界定與該第一氣體管道以及該第二氣體管道流體連通的一孔隙。
  10. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,更包含一控制器,該控制器配置以進行如下操作: 操作在待命模式中,其中該第一閥與該第二閥阻止汽化前驅物流經該第一閥與該第二閥;操作在轉向模式中,其中該第一閥與該第二閥引導該汽化前驅物通過該第二氣體管道與該第三氣體管道、以及該第二閥;並且操作在供應模式中,其中該第一閥與該第二閥引導汽化前驅物通過該第一氣體管道以及該第一閥。
  11. 如申請專利範圍第1項之用於基板處理系統的氣體輸送系統,更包含一控制器,該控制器配置以進行如下操作:關閉該第一閥與該第二閥,以阻止來自該氣體供應器的汽化前驅物流經該第一閥與該第二閥;關閉該第一閥並開啟該第二閥,以將來自該氣體供應器的該汽化前驅物轉向通過該第二閥;關閉該第二閥並開啟該第一閥,以將來自該氣體供應器的該汽化前驅物供應通過該第一閥,其中該第一閥係配置以將該汽化前驅物供應到該處理腔室中。
  12. 一種用於基板處理系統的氣體輸送系統,該氣體輸送系統包含:第一氣體管道;一柱狀物,其界定具有第一末端及第二末端的第二氣體管道;該柱狀物至少局部地設置在該第一氣體管道中,使得該柱狀物與該第一氣體管道共同地界定一流動管道,該流動管道位於該柱狀物的外側表面以及該第一氣體管道的內側表面之間;該流動管道與該第二氣體管道的該第一末端流體連通; 第三氣體管道,其與該第二氣體管道的該第二末端流體連通,其中該第二氣體管道的該第一末端與該第一氣體管道流體連通,使得被提供至該第一氣體管道之入口的氣體流過界定於該柱狀物的該外側表面與該第一氣體管道的該內側表面之間的該流動管道、由該第一末端往該第二末端通過該第二氣體管道、並進入該第三氣體管道;以及閥組件,其與該第一氣體管道、該第二氣體管道、及該第三氣體管道之其中至少一者耦接,該閥組件包含:第一流動通道,配置以與第一氣體供應器流體連通;第二流動通道,配置以與第二氣體供應器流體連通;第三流動通道,從該第二流動通道延伸至第一出口;第四流動通道,其與該第一流動通道流體連通,且配置以與該基板處理系統的一處理腔室流體連通;以及一閥制動器,其包括可在開啟位置與關閉位置之間移動的一隔膜,其中在該關閉位置時,該第二流動通道與該第三流動通道流體連通,且其中在該開啟位置時,該第二流動通道與該第四流動通道流體連通。
  13. 如申請專利範圍第12項之用於基板處理系統的氣體輸送系統,其中該第二氣體供應器包括一汽化前驅物來源。
  14. 如申請專利範圍第12項之用於基板處理系統的氣體輸送系統,其中該隔膜可操作以在該關閉位置時阻止該第二流動通道與該第四流動通道之間的流體連通。
  15. 如申請專利範圍第12項之用於基板處理系統的氣體輸送系統,更包含配置以將該隔膜開啟及關閉的一控制器。
  16. 如申請專利範圍第15項之用於基板處理系統的氣體輸送系統,其中該控制器配置以在第一操作模式與第二操作模式中關閉該隔膜,且其中該控制器配置以在第三操作模式中開啟該隔膜。
  17. 如申請專利範圍第12項之用於基板處理系統的氣體輸送系統,其中該第二流動通道包括一入口以及第二出口,且其中該第三流動通道從該第一出口延伸至該第二出口。
  18. 如申請專利範圍第17項之用於基板處理系統的氣體輸送系統,其中該隔膜係配置以密封地接合該第二出口。
  19. 如申請專利範圍第12項之用於基板處理系統的氣體輸送系統,其中該隔膜係配置以進行如下操作:在該關閉位置時,將汽化前驅物流量從該第二流動通道轉向到該第三流動通道;在該開啟位置時,將汽化前驅物流量從該第二流動通道供應到該第四流動通道;以及在該開啟位置與該關閉位置時,將沖洗氣體流量從該第一流動通道供應到該第四流動通道。
  20. 一種用於基板處理系統的氣體輸送系統,該氣體輸送系統包含:第一氣體管道;一柱狀物,其界定具有第一末端及第二末端的第二氣體管道;該柱狀物至少局部地設置在該第一氣體管道中,使得該柱狀物與該第一氣體管道共同地界定一流動管道,該流動管道位於該柱狀物的外側表面以及該第一氣體管道的內側表面之間; 該流動管道與該第二氣體管道的該第一末端流體連通;第三氣體管道,其與該第二氣體管道的該第二末端流體連通,其中該第二氣體管道的該第一末端與該第一氣體管道流體連通,使得被提供至該第一氣體管道之入口的氣體流過界定於該柱狀物的該外側表面與該第一氣體管道的該內側表面之間的該流動管道、由該第一末端往該第二末端通過該第二氣體管道、並進入該第三氣體管道;以及閥組件,其與該第一氣體管道、該第二氣體管道、及該第三氣體管道之其中至少一者耦接,該閥組件包含:一閥制動器;以及一閥主體,其與該閥制動器耦接,該閥主體從第一末端延伸到第二末端,該第一末端包括第一、第二、第三、及第四埠口;該第二末端包括第五、第六、及第七埠口;該第一埠口透過第一流動通道與該第五埠口流體連通;該第二埠口透過第二流動通道與該第六埠口流體連通;該第三埠口透過第三流動通道與該第六埠口流體連通;該第四埠口透過第四流動通道與該第七埠口流體連通;其中該第四流動通道係配置以與該基板處理系統之一處理腔室流體連通。
  21. 如申請專利範圍第20項之用於基板處理系統的氣體輸送系統,其中該閥制動器包括與該閥主體的該第二末端密封地接合的一隔膜,該隔膜係配置以密封地接合該第六埠口,使得該隔膜與該閥主體的該第二末端界定一腔室。
  22. 如申請專利範圍第21項之用於基板處理系統的氣體輸送系統,其中該腔室包括環狀形構。
  23. 如申請專利範圍第22項之用於基板處理系統的氣體輸送系統,其中該第一流動通道以及該第四流動通道,與該處理腔室流體連通,且該第二流動通道與該第三流動通道流體連通。
  24. 如申請專利範圍第20項之用於基板處理系統的氣體輸送系統,其中該第一流動通道以及該第四流動通道係設置在該第二流動通道以及該第三流動通道的徑向外側之處。
  25. 如申請專利範圍第20項之用於基板處理系統的氣體輸送系統,其中該閥制動器係配置以進行如下操作:在關閉位置時,將汽化前驅物流量從該第二流動通道轉向到該第三流動通道;在開啟位置時,將汽化前驅物流量從該第二流動通道供應到該第四流動通道;以及在該開啟位置與該關閉位置時,將沖洗氣體流量從該第一流動通道供應到該第四流動通道。
  26. 一種用於基板處理系統的氣體輸送系統,該氣體輸送系統包含:第一氣體管道,其與第一氣體供應器流體連通;一柱狀物,其界定具有一入口末端及一出口末端的第二氣體管道;該柱狀物至少局部地設置在該第一氣體管道中,使得該柱狀物與該第一氣體管道共同地界定一流動管道,該流動管道位於該柱狀物的外側表面以及該第一氣體管道的內側表面之間; 第三氣體管道,其具有與該流動管道流體連通的第一末端、以及與第二氣體供應器流體連通的第二末端;以及第四氣體管道,其從該第一氣體管道延伸出來,該第四氣體管道與該第二氣體管道的該出口末端流體連通;其中該第二氣體管道的該入口末端係配置以接收來自該第一氣體供應器的第一流量,以及來自該第二氣體供應器的第二流量,其中該第二氣體管道的該入口末端與該第一氣體管道流體連通,使得被提供至該第一氣體管道之入口的氣體流過界定於該柱狀物的該外側表面與該第一氣體管道的該內側表面之間的該流動管道、由該入口末端往該出口末端通過該第二氣體管道、並進入該第四氣體管道。
  27. 如申請專利範圍第26項之用於基板處理系統的氣體輸送系統,其中該第一氣體供應器包括一遠端電漿清洗氣體來源。
  28. 如申請專利範圍第26項之用於基板處理系統的氣體輸送系統,其中該第二氣體供應器包括一沖洗氣體來源。
  29. 如申請專利範圍第26項之用於基板處理系統的氣體輸送系統,更包含與該第一氣體管道流體連通的一閥,該閥可被操作在開啟位置,以允許來自該第一氣體供應器的氣體流量進入該柱狀物的入口末端;且該閥可被操作在關閉位置,以阻止氣體流量進入該柱狀物的入口末端。
  30. 如申請專利範圍第29項之用於基板處理系統的氣體輸送系統,其中該閥包括一閥座,且其中該第二氣體管道的該入口末端係設置在該閥座的下游。
  31. 如申請專利範圍第26項之用於基板處理系統的氣體輸送系統,其中該柱狀物係同心地設置在該第一氣體管道中。
  32. 如申請專利範圍第26項之用於基板處理系統的氣體輸送系統,更包含配置以加熱該流動管道的一加熱器。
  33. 如申請專利範圍第26項之用於基板處理系統的氣體輸送系統,其中該柱狀物的該外側表面包括徑向朝外延伸的第一凸部以及第二凸部,且其中該第一凸部以及第二凸部界定與該第一氣體管道以及該第三氣體管道流體連通的一孔隙。
  34. 如申請專利範圍第26項之用於基板處理系統的氣體輸送系統,更包含:與該第一氣體管道流體連通的一閥;以及配置以進行如下操作的一控制器:關閉該閥,以阻止來自該第一氣體供應器的遠端電漿清洗氣體流經該第二氣體管道;並且開啟該閥,以將來自該第一氣體供應器的遠端電漿清洗氣體供應通過該第二氣體管道。
TW104139048A 2014-11-26 2015-11-25 用於基板處理系統的氣體輸送系統 TWI713477B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462084856P 2014-11-26 2014-11-26
US62/084,856 2014-11-26
US201562192859P 2015-07-15 2015-07-15
US62/192,859 2015-07-15
US14/805,807 2015-07-22
US14/805,807 US9920844B2 (en) 2014-11-26 2015-07-22 Valve manifold deadleg elimination via reentrant flow path

Publications (2)

Publication Number Publication Date
TW201631429A TW201631429A (zh) 2016-09-01
TWI713477B true TWI713477B (zh) 2020-12-21

Family

ID=56010123

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139048A TWI713477B (zh) 2014-11-26 2015-11-25 用於基板處理系統的氣體輸送系統

Country Status (4)

Country Link
US (1) US9920844B2 (zh)
KR (2) KR102469751B1 (zh)
CN (1) CN105624646B (zh)
TW (1) TWI713477B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102411152B1 (ko) 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
CN107779843B (zh) * 2017-12-11 2019-10-08 湖南顶立科技有限公司 一种化学气相沉积炉
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
CN109238642B (zh) * 2018-09-21 2021-01-12 大连理工大学 一种应用于流动安全实验循环系统的盲管装置
CN111101115B (zh) * 2018-10-25 2022-03-22 北京北方华创微电子装备有限公司 气路切换装置及其控制方法、半导体加工设备
TWI678494B (zh) * 2018-11-19 2019-12-01 廣運機械工程股份有限公司 盲插水路分歧裝置
CN112613134B (zh) * 2020-12-09 2022-05-13 浙江大学 一种基于涡流分布的阀体结构优化方法
US11899477B2 (en) 2021-03-03 2024-02-13 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly
WO2023215199A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Gas supply line arrangements

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040250853A1 (en) * 2003-06-11 2004-12-16 Gert-Jan Snijders Gas supply system, valve assembly and method of forming reactant pulses by operating a valve assembly
TWI362431B (en) * 2004-09-30 2012-04-21 Tokyo Electron Ltd Vaporizer
TWM462748U (zh) * 2011-12-16 2013-10-01 Advanced Micro Fabrication Equipment Shanghai Co Ltd 混生氣體生成設備
CN104040689A (zh) * 2011-12-07 2014-09-10 朗姆研究公司 用于清洁气体喷射器的系统和方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2584436A (en) * 1946-04-15 1952-02-05 Denburgh As Van Valve device
US2758877A (en) * 1954-12-06 1956-08-14 Robert H Gleason Apparatus for dispensing materials
US4243070A (en) * 1978-08-16 1981-01-06 Jackson Samuel G Variable back pressure valve
US4232063A (en) 1978-11-14 1980-11-04 Applied Materials, Inc. Chemical vapor deposition reactor and process
US4315479A (en) 1980-06-27 1982-02-16 Atomel Corporation Silicon wafer steam oxidizing apparatus
JPS62136871A (ja) 1985-12-11 1987-06-19 Canon Inc 光センサ−、その製造方法及びその製造装置
US4798166A (en) 1985-12-20 1989-01-17 Canon Kabushiki Kaisha Apparatus for continuously preparing a light receiving element for use in photoelectromotive force member or image-reading photosensor
JPH0651906B2 (ja) 1985-12-25 1994-07-06 キヤノン株式会社 堆積膜形成法
US5391232A (en) 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4660598A (en) * 1986-01-13 1987-04-28 Spraying Systems Co. Diaphragm-type antidrip valve
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4793283A (en) 1987-12-10 1988-12-27 Sarkozy Robert F Apparatus for chemical vapor deposition with clean effluent and improved product yield
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5928427A (en) 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
FR2749924B1 (fr) * 1996-06-18 1998-08-21 Air Liquide Dispositif pour fournir a un appareil l'un quelconque de plusieurs gaz
CA2263687C (en) * 1996-08-21 2006-03-21 Fisher Controls International, Inc. Elastomeric element valve
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
JPH10321584A (ja) 1997-05-22 1998-12-04 Mitsubishi Electric Corp 乾燥装置および乾燥方法
US6167323A (en) 1997-08-12 2000-12-26 Tokyo Electron Limited Method and system for controlling gas system
US7389792B2 (en) * 1998-12-24 2008-06-24 Nl Technologies, Ltd. Dip tube valve assembly
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20060060253A1 (en) * 2002-10-21 2006-03-23 Ckd Corporation Integrated gas valve
KR20050040969A (ko) 2003-10-29 2005-05-04 삼성전자주식회사 확산 시스템
US20050092245A1 (en) 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
JP4502189B2 (ja) 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 薄膜の形成方法および半導体装置の製造方法
JP4742762B2 (ja) 2005-09-12 2011-08-10 株式会社フジキン 流体制御装置
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
DE102007063363B4 (de) 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP2009267345A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8210203B2 (en) * 2009-02-26 2012-07-03 Masco Corporation Of Indiana Aspirator for a shower fitting
JP5270476B2 (ja) 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
WO2011159690A2 (en) 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
JP5604289B2 (ja) 2010-12-22 2014-10-08 東京エレクトロン株式会社 成膜装置
JP5243519B2 (ja) 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
JP5236755B2 (ja) 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8985152B2 (en) 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
JP6078335B2 (ja) 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
KR101625001B1 (ko) 2013-05-14 2016-05-27 주식회사 아비즈알 진공증착장치 용 원료가스 분사노즐
US9530627B2 (en) 2013-09-26 2016-12-27 Applied Materials, Inc. Method for cleaning titanium alloy deposition
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040250853A1 (en) * 2003-06-11 2004-12-16 Gert-Jan Snijders Gas supply system, valve assembly and method of forming reactant pulses by operating a valve assembly
TWI362431B (en) * 2004-09-30 2012-04-21 Tokyo Electron Ltd Vaporizer
CN104040689A (zh) * 2011-12-07 2014-09-10 朗姆研究公司 用于清洁气体喷射器的系统和方法
TWM462748U (zh) * 2011-12-16 2013-10-01 Advanced Micro Fabrication Equipment Shanghai Co Ltd 混生氣體生成設備

Also Published As

Publication number Publication date
TW201631429A (zh) 2016-09-01
KR102469751B1 (ko) 2022-11-21
CN105624646B (zh) 2018-09-11
KR102626480B1 (ko) 2024-01-17
CN105624646A (zh) 2016-06-01
US9920844B2 (en) 2018-03-20
KR20220159928A (ko) 2022-12-05
KR20160063274A (ko) 2016-06-03
US20160147234A1 (en) 2016-05-26

Similar Documents

Publication Publication Date Title
TWI713477B (zh) 用於基板處理系統的氣體輸送系統
TWI689615B (zh) 供應製程氣體及處理半導體晶圓的設備
TWI734726B (zh) 具有多氣體注射點與雙注射器之基板處理室
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
TWI705153B (zh) 在原子層沉積期間透過化學品的受控分離與輸送操作而達成低瑕疵處理的系統與方法
US11959172B2 (en) Substrate processing systems including gas delivery system with reduced dead legs
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US10351953B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20220372619A1 (en) Manifold valve for controlling multiple gases
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20230374661A1 (en) Showerhead with integral divert flow path
US20220181128A1 (en) Apparatus for cleaning plasma chambers