TWI658492B - 批式處理系統中之多膜沉積與蝕刻用方法及設備 - Google Patents

批式處理系統中之多膜沉積與蝕刻用方法及設備 Download PDF

Info

Publication number
TWI658492B
TWI658492B TW106104383A TW106104383A TWI658492B TW I658492 B TWI658492 B TW I658492B TW 106104383 A TW106104383 A TW 106104383A TW 106104383 A TW106104383 A TW 106104383A TW I658492 B TWI658492 B TW I658492B
Authority
TW
Taiwan
Prior art keywords
film
substrates
processing
etching
deposition
Prior art date
Application number
TW106104383A
Other languages
English (en)
Other versions
TW201740431A (zh
Inventor
大衛 L 歐米拉
安東尼 迪普
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201740431A publication Critical patent/TW201740431A/zh
Application granted granted Critical
Publication of TWI658492B publication Critical patent/TWI658492B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明之實施例描述批式處理系統中之多膜沉積與蝕刻用方法及設備。依據一實施例,該方法包含下列步驟:在製程腔室中將基板配置於複數基板支撐體上,其中該製程腔室包含圍繞該製程腔室中之旋轉軸而界定的複數處理空間,使該複數基板支撐體繞該旋轉軸旋轉,藉由原子層沉積在該等基板其中各者上之圖案化的膜上沉積第一膜,以及蝕刻該等基板其中各者上之該第一膜的部分,其中蝕刻該第一膜的部分之步驟包含移除該第一膜的至少一水平部分,同時實質上留下該第一膜的垂直部分。該方法更包含針對第二膜重複該沉積步驟及蝕刻步驟,該第二膜包含與該第一膜不同的材料。

Description

批式處理系統中之多膜沉積與蝕刻用方法及設備
[相關申請案的交互參照]本申請案係關於並主張2016年2月12日申請之美國臨時申請案第62/294977號作為優先權母案,該申請案整體揭露內容併入本說明書中以供參照。
本發明概括上係關於半導體生產,而更具體而言,係關於批式處理系統中之多膜沉積與蝕刻用方法及設備。
隨著微電子裝置的尺寸縮小及如此的材料之需求增加,在較大基板區域上具有均勻組成及均勻厚度的半導體薄膜越來越為人所欲。用於在基板上沉積薄膜的一般製程為化學氣相沉積法(CVD, Chemical Vapor Deposition),其可在複雜的裝置拓樸(topography)中提供相當均勻的膜沉積。在典型的CVD製程中,基板係暴露於兩或更多的揮發性前驅物,前驅物在基板表面上反應及/或分解,以產生所需之薄膜。
儘管CVD已具有優於先前沉積技術之改善,但CVD仍存在一些缺點。例如,由於CVD取決於通量,因此必須精確且一致地維持沉積條件,例如基板溫度、壓力、及氣體流率,以產生具有均勻厚度的所需薄膜。此外,CVD傾向於將不欲之反應產物包含於所沉積的薄膜中,因此而減少薄膜之純度。
原子層沉積法(ALD, Atomic Layer Deposition)相當於CVD的一種變形,其係為用於沉積薄膜的當代技術,目前新興作為達成高度均勻、保形膜沉積之潛在較優良的方法。ALD將習知CVD製程分成分離之沉積步驟,以藉由在各沉積步驟中依序地沉積單一原子單層來建構薄膜。ALD的技術係基於藉由化學吸附作用使反應前驅物分子之飽和單層形成的原則。典型的ALD製程由以下各者組成:注入第一前驅物一段時間直到飽和單層形成於基板上為止;接著,使用惰性氣體將第一前驅物自腔室吹淨;接著,亦將第二前驅物注入腔室中一段時間,從而因第二前驅物與第一前驅物的反應而在晶圓上形成一層;然後將第二前驅物自腔室吹淨。重複引入第一前驅物、吹淨製程腔室、引入第二前驅物、及吹淨製程腔室的此製程數次,以達成具有所需厚度的膜。
可使用具有反應性氣體前驅物注入製程腔室的單一晶圓反應器來沉積ALD薄膜。然而,單一晶圓反應器的主要缺點為:由於其相當低的產率所致,因此其商業價值顯著減少。另一缺點係必須要在引入各個別氣體前驅物之前吹淨製程腔室。
本發明之實施例描述一種在批式處理系統中之多膜沉積與蝕刻用方法及設備。依據一實施例,該方法包含下列步驟:a) 在製程腔室中將複數基板配置於複數基板支撐體上,其中該製程腔室包含圍繞該製程腔室中之旋轉軸而界定的複數處理空間;b) 使該複數基板支撐體繞該旋轉軸旋轉;c) 藉由原子層沉積在該等基板其中各者上之圖案化的膜上沉積第一膜;d) 蝕刻該等基板其中各者上之該第一膜的部分,其中蝕刻該第一膜的部分之該步驟包含移除該第一膜的至少一水平部分,同時實質上留下該第一膜的垂直部分;e) 藉由原子層沉積在該等基板其中各者上的該第一膜上沉積第二膜,其中該第二膜包含與該第一膜不同的材料;且f) 蝕刻該等基板其中各者上之該第二膜的部分,其中蝕刻該第二膜的部分之該步驟包含移除該第二膜的至少一水平部分,同時實質上留下該第二膜的垂直部分。
本發明之實施例解決與單一晶圓反應器及多晶圓反應器相關聯的許多缺點。此等缺點的若干包含:單一晶圓反應器之相當低的產率、以及多晶圓反應器在利用噴淋頭注入器方面具有特有的缺點,其中該噴淋頭注入器在大致上垂直於晶圓表面之軸對稱方向上注入前驅物氣體。因此,雖然能達到較快速的製程時間,但多晶圓ALD製程腔室可能會產生具有降低之厚度均勻性的薄膜。
本發明之若干實施例結合沉積及蝕刻處理,以形成薄的圖案化硬遮罩,該遮罩具有由ALD膜厚度所控制的關鍵尺寸。在典型半導體雙重及四重圖案化方法中,ALD膜係沉積於心軸上(圖案化的膜),接著為間隔物蝕刻及心軸移除,在習知情況下於分離式設備中進行蝕刻,以隔離待作為用於後續圖案化蝕刻之硬遮罩來使用的間隔物。
本說明書提供在批次處理系統中處理基板的方法。該方法包含:a) 在製程腔室中將基板配置於複數基板支撐體上,其中該製程腔室包含圍繞製程腔室中之旋轉軸而界定的處理空間;b) 使複數基板支撐體繞旋轉軸旋轉;c) 藉由ALD在該等基板其中各者上之圖案化的膜上沉積第一膜;以及d) 蝕刻該等基板其中各者上之第一膜的部分,其中蝕刻第一膜的部分之步驟包含移除第一膜的至少一水平部分,同時實質上留下第一膜的垂直部分。該方法更包含:e) 藉由ALD在該等基板其中各者上之第一膜上沉積第二膜,其中第二膜包含與該第一膜不同的材料,以及f) 蝕刻該等基板其中各者上之第二膜的部分,其中蝕刻第二膜的部分之步驟包含移除第二膜的至少一水平部分,同時實質上留下第二膜的垂直部分。依據另一實施例,該方法更包含重複步驟c)及d)、步驟e)及f)、或步驟c)、d)、e)及f)至少一次。
第一膜可選自由下列各者所構成的群組:二氧化矽、氮化矽、氮氧化矽、其他金屬或非金屬之氧化物、氮化物、或矽化物、以及高介電常數(high-k)材料。high-k材料可選自由下列各者所構成的群組:鈦氧化物、鈦氮化物、鋁氧化物、鋁氮化物、鉿氧化物、鋯氧化物、及其組合。依據一實施例,沉積第一膜之步驟可包含:將第一沉積前驅物注入由繞旋轉軸之第一夾角所界定的第一處理空間中;於各完整旋轉期間在第一處理空間中使複數基板其中各者之頂表面暴露於第一沉積前驅物,以使第一沉積前驅物的分子鍵結;以及於各完整旋轉期間在由繞旋轉軸之第二夾角所界定的第二處理空間內,使複數基板其中各者暴露於惰性氣氛。該方法更包含:將第二沉積前驅物注入第三處理空間中,其中該第三處理空間係由繞旋轉軸之第三夾角所界定,且該第三處理空間與第一處理空間被第二處理空間分隔開;於各完整旋轉期間使複數基板其中各者之頂表面暴露於第二沉積前驅物;以及在第四處理空間內使複數基板其中各者暴露於惰性氣氛,其中該第四處理空間係由繞旋轉軸之第四夾角所界定,且該第四處理空間與第二處理空間被第三處理空間分隔開。該方法更包含:藉由重複地旋轉基板通過第一、第二、第三、及第四處理空間,使複數基板其中各者之頂表面再次暴露於第一及第二沉積前驅物,以在複數基板其中各者上逐漸沉積第一膜。
依據一實施例,第一沉積前驅物可選自由下列各者所構成的群組:矽前驅物、鍺前驅物、及含金屬前驅物。含金屬前驅物可選自由下列各者所構成的群組:鈦前驅物、鋁前驅物、鉿前驅物、鋯前驅物、及其組合。第二沉積前驅物可包含氧化來源、氮化來源、或氧化來源與氮化來源兩者。此外,可使用矽化物來源或其他反應劑來移除或置換第一沉積前驅物分子的表面配位基,以繼續ALD循環。在一範例中,氧化來源可包含電漿激發的氧化氣體。在另一範例中,氮化來源可包含電漿激發的氮化氣體。
依據一實施例,蝕刻第一膜的部分之步驟可包含:將第一蝕刻氣體注入複數處理空間其中一或多者中,以及使第一膜暴露於第一蝕刻氣體,以移除第一膜的部分。在另一情況下,可選擇材料或第一蝕刻氣體以吸收於待移除的原始材料(第一膜)之特定深度內,並使用第二化學物以移除或蝕刻原始材料之經改質的表面層,而提供原始材料的逐層蝕刻移除,類似於原子層蝕刻(ALE, Atomic Layer Etching)機制。在一範例中,第一蝕刻氣體可包含電漿激發的蝕刻氣體。
第二膜可選自由下列各者所構成的群組:二氧化矽、氮化矽、氮氧化矽、其他金屬或非金屬之氧化物、氮化物、或矽化物、以及high-k材料。high-k材料可選自由下列各者所構成的群組:鈦氧化物、鈦氮化物、鋁氧化物、鋁氮化物、鉿氧化物、鋯氧化物、及其組合。依據一實施例,沉積第二膜之步驟可包含將第三沉積前驅物注入由繞旋轉軸之第一夾角所界定的第一處理空間中;於各完整旋轉期間在第一處理空間中使複數基板其中各者之頂表面暴露於第三沉積前驅物,以使第三沉積前驅物的分子鍵結;以及於各完整旋轉期間在由繞旋轉軸之第二夾角所界定的第二處理空間內,使複數基板其中各者暴露於惰性氣氛。該方法更包含將第四沉積前驅物注入第三處理空間中,其中該第三處理空間係由繞旋轉軸之第三夾角所界定,且該第三處理空間與第一處理空間被第二處理空間分隔開;於各完整旋轉期間使複數基板其中各者之頂表面暴露於第四沉積前驅物;以及在第四處理空間內使複數基板其中各者暴露於惰性氣氛,其中該第四處理空間係由繞旋轉軸之第四夾角所界定,且該第四處理空間與第二處理空間被第三處理空間分隔開。該方法更包含:藉由重複地旋轉基板通過第一、第二、第三、及第四處理空間,使複數基板其中各者之頂表面再次暴露於第三及第四沉積前驅物,以在複數基板其中各者上逐漸沉積第二膜。
依據一實施例,第三沉積前驅物可選自由下列各者所構成的群組:矽前驅物、鍺前驅物、及含金屬前驅物。含金屬前驅物可選自由下列各者所構成的群組:鈦前驅物、鋁前驅物、鉿前驅物、鋯前驅物、及其組合。第四沉積前驅物可包含氧化來源、氮化來源、或氧化來源與氮化來源兩者。此外,可使用矽化物來源或其他反應劑來移除或置換第三沉積前驅物分子的表面配位基,以繼續ALD循環。在一範例中,氧化來源可包含電漿激發的氧化氣體。在另一範例中,氮化來源可包含電漿激發的氮化氣體。
依據一實施例,蝕刻第二膜的部分之步驟可包含:將第二蝕刻氣體注入複數處理空間其中一或多者中,以及使第二膜暴露於第二蝕刻氣體,以移除第二膜的部分。在另一情況下,可選擇材料或第二蝕刻氣體以吸收於待移除的原始材料(第二膜)之特定深度內,並使用第二化學物以移除或蝕刻原始材料之經改質的表面層,而提供原始材料的逐層蝕刻移除,類似於ALE機制。在一範例中,第二蝕刻氣體可包含電漿激發的蝕刻氣體。
參照圖1,用於處理複數基板200的批次處理系統10包含輸入/輸出站12、負載/鎖定站14、製程腔室16、及傳送腔室18,傳送腔室18係介設於負載/鎖定站14與製程腔室16之間。以簡化方式顯示的批次處理系統10可包含額外的構造,例如額外的真空隔離壁,其連接負載/鎖定站14與傳送腔室18,及連接製程腔室16與傳送腔室18,此為本領域具通常知識者所瞭解。處於大氣壓力或是接近大氣壓力(例如760 Torr)的輸入/輸出站12適用於容納晶圓匣20,例如前開式晶圓傳送盒(FOUPs, front opening unified pods)。調整晶圓匣20之尺寸及塑形以固持複數基板200,例如具有例如200 毫米或300 毫米之直徑的半導體晶圓。
負載/鎖定站14係適用於從大氣壓力排空至真空壓力,及從真空壓力通至大氣壓力,而製程腔室16及傳送腔室18係為隔絕的,且持續維持在真空壓力下。負載/鎖定站14固持自輸入/輸出站12之大氣環境引入的複數晶圓匣20。負載/鎖定站14包含平台21、23,平台21、23各支撐晶圓匣20其中一者,且可垂直定位以促使晶圓傳送進出製程腔室16。
晶圓傳送機構22在真空下自負載/鎖定站14中之晶圓匣20其中一者將基板200傳送通過傳送腔室18而進入製程腔室16。另一晶圓傳送機構24在真空下從製程腔室16將在製程腔室16中處理過的基板200傳送通過傳送腔室18而至晶圓匣20。用於提升批次處理系統10之產率的相互獨立操作之晶圓傳送機構22、24可為一般用於拾取及放置操作的選擇順應性關節式/裝配式機械臂(SCARA, selective compliant articulated/assembly robot arm)自動裝置。晶圓傳送機構22、24包含末端效應器,其配置以在傳送期間固緊基板200。製程腔室16可包含分別由晶圓傳送機構22、24所使用之不同的第一及第二可密封孔口(未顯示),以接取製程腔室16內部的處理空間。當沉積或蝕刻製程發生於製程腔室16中時,密封接取孔口。圖1中所描繪的晶圓傳送機構22係為將未處理的基板200自負載/鎖定站14之平台21上的晶圓匣20傳送至製程腔室16時的情況。圖1中所描繪的晶圓傳送機構24係為將已處理的基板200從製程腔室16傳送至負載/鎖定站14之平台23上的晶圓匣20時的情況。
晶圓傳送機構24亦可將從製程腔室16所擷取之已處理的基板200傳送至用於檢測的量測站26,或傳送至用於基板200之後處理低壓冷卻的冷卻站28。在量測站26中所執行的製程可包含(但不限於)用以量測薄膜厚度及/或膜組成的習知技術,例如橢圓偏振技術(ellipsometry)、及用於污染控制的粒子量測技術。
批次處理系統10係裝設有系統控制器36,其程式化以控制及協調批次處理系統10之操作。系統控制器36通常包含用於進行下列各者的中央處理單元(CPU, central processing unit ):控制各種系統功能、腔室製程、及支援硬體(例如:偵測器、自動裝置、馬達、氣體源硬體等)、以及監控系統及腔室製程(例如腔室溫度、製程序列產率、腔室製程時間、輸入/輸出信號等)。可將軟體指令及資料編碼並儲存於記憶體內,以命令CPU。可由系統控制器36所執行的軟體程式決定在基板200所執行的工作,包含關於處理序列工作之監控及執行,以及各種腔室製程配方步驟的工作。
承受器48係配置於製程腔室16內部。承受器48包含複數環形基板支撐體52,其係界定於承受器48之頂表面中。基板支撐體52其中各者係配置以固持基板200其中至少一者於徑向地位於製程腔室16之周緣側壁40內的位置。個別基板支撐體52的數目範圍可例如為自2至8。然而,本領域具通常知識者可察知,取決於基板200之尺寸及承受器48之尺寸,承受器48可配置有任何所欲數目的基板支撐體52。儘管本發明之此實施例係描繪成具有環形或圓形幾何形狀之基板支撐體52的情況,本領域具通常知識者可察知,基板支撐體52可具有配合適當塑形之基板的任何所欲形狀。
批次處理系統10可配置以處理200 mm基板、300 mm基板、或大尺寸的圓形基板,其尺寸會反映於基板支撐體52之尺寸。事實上應考量到,如熟習本領域技術者可察知,批次處理系統10可配置以處理不拘尺寸之基板、晶圓、或液晶顯示器。因此,儘管本發明之態樣係關於基板200(其為半導體基板)之處理來描述,但本發明並不限於此。
基板支撐體52以定心於旋轉軸54上之一致的半徑環繞承受器48的周圍而分布。基板支撐體52具有繞旋轉軸54之接近等角的分隔,其中旋轉軸54實質上與方位軸42共線或同軸,但本發明並不限於此。
當基板200在製程腔室16中處理時,承受器48可持續旋轉,且可以恆定的角速度繞旋轉軸54旋轉。或者,可依據承受器48相對於任意參考點的角方位而隨機改變角速度。
分隔部68、70、72、74將製程腔室16劃分為複數處理空間76、78、80、82,但使承受器48及基板支撐體52能夠環繞旋轉軸54自由地旋轉。分隔部68、70、72、74相對於旋轉軸54朝向周緣側壁40徑向延伸。儘管代表性地顯示四個分隔部68、70、72、74,但本領域具通常知識者可察知,可以任何合適複數個分隔部再細分製程腔室16,以形成不同於四個之數目的處理空間。
批次處理系統10更包含吹淨氣體供應系統84,其係藉由氣體管線連接至穿透過周緣側壁40的氣體注入器30、34。吹淨氣體供應系統84係配置以將吹淨氣體流引至處理空間76及80。引入處理空間76及80中的吹淨氣體可包含惰性氣體,例如鈍氣(例如氦、氖、氬、氙、氪)、或氮、或氫。在基板處理期間,吹淨氣體係持續引入處理空間76及80中,以提供氣態屏幕或障壁,俾阻礙或至少顯著地限制處理空間78與82間之第一及第二製程材料的傳送。吹淨氣體亦在處理空間76、80內部提供惰性氣氛,以使在承受器48上傳送通過處理空間76、80時,由基板200所帶來的任何製程材料層實質上不變。處理空間78係鄰接於處理空間76與80之間,而處理空間82係鄰接於處理空間76與80之間,以使處理空間76、80分隔處理空間78及82,以提供第一及第二製程材料的相互隔絕。
批次處理系統10更包含第一製程材料供應系統90及第二製程材料供應系統92,其中第一製程材料供應系統90係藉由氣體管線連接至穿透過周緣側壁40的氣體注入器32,而第二製程材料供應系統92係藉由氣體管線連接至穿透過周緣側壁40的氣體注入器38。第一製程材料供應系統90係配置以將第一製程材料引至處理空間78,而第二製程材料供應系統92係配置以將第二製程材料引至處理空間82。第一及第二製程材料供應系統90、92可各包含可見於習知的如此之製程材料供應系統中的一或更多材料來源、一或更多加熱器、一或更多壓力控制元件、一或更多流量控制元件、一或更多過濾器、一或更多閥、或一或更多流量感測器。
第一製程材料可包含例如前驅物,如具有可在形成於基板200其中各者上之膜中尋得的主要原子或分子物種之組成物。例如,前驅物可產生自固相、液相、或氣相,且其可在載氣之輔助下、或無載氣之輔助下,以氣相或蒸氣相輸送至處理空間78。第二製程材料可包含例如反應物,其亦可具有在形成於基板200上之膜中尋得的原子或分子物種。例如,反應物可產生自固相、液相、或氣相,且其可在載氣之輔助下、或無載氣之輔助下,以氣相或蒸氣相輸送至處理空間82。
根據待沉積於基板上作為薄膜的材料之組成及性質,而選擇由第一製程材料供應系統90供應至製程腔室16的第一製程材料,以及由第二製程材料供應系統92供應至製程腔室16的第二製程材料。例如,第一製程材料可包含矽前驅物或金屬有機前驅物,而第二製程材料可包含氮(N2 )或氧(O2 )。亦選擇第一及第二製程材料的溫度及壓力以促進薄膜生長。
依據一實施例,第一製程材料供應系統90、第二製程材料供應系統92、及吹淨氣體供應系統84其中一或多者更可配置以將蝕刻氣體注入處理空間76、78、80、82其中一或多者中。
當承受器48係繞旋轉軸54旋轉時,在承受器48之周緣的周圍之基板支撐體52配置使各基板200能夠循序地暴露於處理空間76、78、80、82其中各者之內部的不同環境。例如,當承受器48旋轉通過2π弳度(360°)之封閉路徑時,基板200其中各者依序暴露於第一處理空間78內部之環境中的第一製程材料,然後暴露於包含於第二處理空間80內部之環境的吹淨氣體,接著暴露於第三處理空間82內部之環境中的第二製程材料,而最後暴露於包含於第四處理空間76內部之環境的吹淨氣體。基板200其中各者在分別的處理空間76、78、80、82其中各者中具有由待沉積於基板200其中各者上之膜性質所指定的所欲留置時間,該留置時間足以形成膜。
沉積製程係為沉積技術,其中基板200上之薄膜(或其部分)的各原子層的沉積係由交替及循序引入適當的氣相前驅物所控制,該氣相前驅物係以自限制方式反應,以逐漸形成或建構薄膜。在第一處理空間78內,第一製程材料的分子鍵結(藉由化學吸收或吸附作用等)至基板200其中各者的頂表面,以形成第一製程材料的單層或第一製程材料的單層之部分。在第三處理空間82內,第二製程材料與第一製程材料的分子在各相繼的基板200上反應。當基板200旋轉通過第一及第三處理空間78、82時,以循序地後續暴露於第一及第二製程材料的方式來重複此等步驟。在第一及第三處理空間78、82中之第一及第二製程材料的環境係分別藉由第二及第四處理空間80、76內部的非化學反應性之吹淨氣體環境而相互隔絕。
可將基板200加熱至製程溫度以促進沉積製程。相較於主要為熱驅動的CVD製程,ALD係主要為化學驅動。因此,可在相較於CVD顯著更低的基板溫度下施行ALD。
依據本發明之一實施例,第一製程材料供應系統90包含電漿源,該電漿源以包含離子、自由基、或其組合的電漿產物之形式將第一製程材料供應至處理空間78。再者,第二製程材料供應系統92可包含電漿源,該電漿源以包含離子、自由基、或其組合的電漿產物之形式將第二製程材料供應至處理空間82。並且,為了提供非等向性蝕刻,可電偏壓基板支撐體52。在一範例中,在電偏壓期間,可能需要停止旋轉承受器48。
依據本發明之一實施例,提供批式處理系統中之複數基板的多膜沉積與蝕刻用方法。現參照圖1及2A,方法包含在製程腔室16中將基板200配置於複數基板支撐體52上,並繞旋轉軸54旋轉複數基板支撐體52。製程腔室16包含圍繞製程腔室16中之旋轉軸54而界定的處理空間76、78、80、82。
依據一實施例,複數基板200包含圖案化的膜206、薄膜204、及底膜202。薄膜204可包含導電層、非導電層、或半導電層。例如,薄膜204可包含至少一材料層,其包括含矽材料,例如多晶矽、二氧化矽、氮化矽、碳化矽、或氮氧化矽等。薄膜204可包含低介電常數(亦即:low-k)或超低介電常數(亦即:ultra-low-k)介電層,其具有小於接近4的SiO2 之介電常數(例如:熱二氧化矽之介電常數的範圍為自約3.8至約3.9)的標稱介電常數值。更具體而言,薄膜204可具有範圍為自約1.6至約3.7的介電常數。
此等介電層可包含下列其中至少一者:有機材料、無機材料、或無機-有機混成材料。此外,此等介電層可為多孔性的或非多孔性的。例如,此等介電層可包含無機的、以矽酸鹽為基礎的材料(例如:碳摻雜矽氧化物(或有機矽氧烷)),其使用CVD來進行沉積。如此的膜之範例包含黑鑽石(BLACK DIAMOND)CVD有機矽酸鹽玻璃(OSG, organosilicate glass)膜,其市售來自美國加州聖塔克拉拉的應用材料公司(Applied Materials, Inc. (Santa Clara, Calif.)),或CORAL CVD膜,其市售來自美國加州聖荷西的諾發系統公司(Novellus Systems, Inc. (San Jose, Calif.))。或者,此等介電層可包含由單相所組成的多孔性的無機-有機混成膜,例如在硬化或沉積製程期間阻礙薄膜204之全緻密化以產生小孔隙 (或孔洞)的具有CH3 鍵之以矽氧化物為基礎的基體。又或者,此等介電層可包含由至少兩相所組成的多孔性無機-有機混成膜,例如具有在硬化期間分解及揮發之有機材料(例如:成孔劑)的孔洞之以碳摻雜矽氧化物為基礎的基體。
又或者,此等介電層可包含無機的、以矽酸鹽為基礎的材料(例如氫倍半矽氧烷(HSQ, hydrogen silsesquioxane)或甲基倍半矽氧烷(MSQ, methyl silsesquioxane)),其使用旋塗式介電材料(SOD, spin-on dielectric)技術來進行沉積。如此的膜之範例包含FOX HSQ,其市售來自美國密西根州密德蘭的道康寧公司(Dow Corning (Midland, Mich.)),XLK多孔性HSQ,其市售亦來自道康寧公司,以及JSR LKD-5109,其市售來自美國加州桑尼維爾的捷時雅邁科公司(JSR Microelectronics (Sunnyvale, Calif.))。又或者,此等介電層可包含使用SOD技術來沉積的有機材料。如此的膜之範例包含SILK-I、SILK-J、SILK-H、SILK-D、及多孔性SILK半導體介電質樹脂,以上各材料市售來自陶氏化學公司(Dow Chemical),以及GX-3、及GX-3P半導體介電質樹脂,以上各材料市售來自美國新澤西州莫里斯敦的霍尼韋爾公司(Honeywell (Morristown, N.J.))。
可使用氣相沉積技術或旋塗式技術來形成薄膜204,其中該氣相沉積技術例如CVD、電漿輔助化學氣相沉積法(PECVD, plasma enhanced chemical vapor deposition)、ALD、電漿輔助原子層沉積法(PEALD, plasma enhanced atomic layer deposition)、物理氣相沉積法(PVD, physical vapor deposition)、或離子化物理氣相沉積法(iPVD, ionized physical vapor deposition),而其中該旋塗式技術例如在Clean Track ACT 8 SOD (旋塗式介電材料)、ACT 12 SOD、及Lithius塗佈系統(以上各系統市售來自東京都港區的東京威力科創公司(Tokyo Electron Limited (TEL), Minato-ku, Tokyo))中所提供的該等技術。Clean Track ACT 8 (200 mm)、ACT 12 (300 mm)、及Lithius (300 mm)塗佈系統提供用於SOD材料的塗佈、烘烤、及硬化工具。軌道系統可配置以處理不同尺寸的基板,包含100 mm、200 mm、300 mm、及更大之直徑的基板。用於在基板上形成薄膜的其他系統及方法係為熟習旋塗技術及氣相沉積技術領域之技術者所熟知。
圖案化的膜206包含水平表面212及垂直表面210,其可使用本領域具通常知識者所熟知的標準光微影技術及蝕刻技術來產生。形成於薄膜204上的圖案化的膜206可包含抗反射塗料(ARC, anti-reflective coating)層(例如底部ARC(BARC)),且可選用性地包含額外的層(並未在本說明書中具體顯示)。ARC層具有抗反射性質,其適於作為抗反射塗料來使用,且其在光阻移除步驟期間能承受得住劣化作用。在光阻移除期間的抗劣化性容許使用標準電漿灰化製程來選擇性移除光阻,同時保留犧牲性結構的完整。
在若干實施例中,圖案化的膜206可包含含矽ARC層,其藉由提供足夠的機械性質來承受圖案化製程、ARC層結構上的保形沉積、及後續的移除製程(單或負數),而達成薄膜的雙重圖案化。圖案化的膜206更可選用性地包含硬遮罩層或平坦化層,例如配置於薄膜204與ARC層間的有機平坦化層(OPL, organic planarization layer)。在若干實施例中,圖案化的膜206可包含多晶矽、矽氮化物、或矽氧化物。
此外,根據本發明的另一實施例,蝕刻時,ARC層具有足以承受與該ARC層上之膜的沉積相關聯之應力的機械性質。例如,上述含矽ARC材料通常相較於標準有機ARC材料而言強度更大,且可提供光阻與ARC層間較佳的選擇性。因此,含矽ARC材料較能承受剝除/灰化電漿以及間隔層之沉積期間所引發的應力,藉此容許較佳的輪廓控制。適用於ARC層的材料包含,例如,市售來自道康寧公司(Dow Corning)、布魯爾科技公司(Brewer Science, Inc.)、JSR股份有限公司(JSR Corp.)、羅門哈斯公司(Rohm and Haas)、及信越化學工業股份有限公司(Shin Etsu Chemical Co., Ltd.)的含矽抗反射塗料。
或者,除了含矽ARC層,圖案化的膜206可包含多層配置,其包含一或更多矽化合物及一或更多具有抗反射性質的材料,例如非晶碳。矽化合物增益複數犧牲性膜的強度及選擇性。
可藉由使用塗佈/顯影系統的濕式圖案化製程來塗佈及選擇性移除圖案化的膜206(儘管實施例並不限於此)。例如,在另一實施例中,可藉由包含結合乾式蝕刻工具之塗佈/顯影系統的乾式圖案化製程來塗佈及選擇性移除圖案化的膜206。在一實施例中,圖案化的膜206的厚度可為約50奈米與約100奈米之間。在另一實施例中,圖案化的膜206的厚度可為約20奈米與約50奈米之間。在一替代實施例中,圖案化的膜206的厚度可為約100奈米與約300奈米之間。
依據一實施例,圖2B中所示的第一膜208係藉由單層沉積(MLD, monolayer deposition)方法而保形地形成於圖案化的膜206上。MLD方法可包含,例如ALD方法,其係依據藉由化學吸附形成反應性前驅物分子之飽和單層的原則。用於形成AB膜的典型MLD製程,係例如由注入第一沉積前驅物或反應物A(RA )達一段期間(其中A的飽和單層係形成於基板上)之步驟所組成。接著,使用惰性氣體將RA 自腔室吹淨。然後亦將第二沉積前驅物或反應物B(RB )注入腔室中達一段期間,以使A與B結合,並在基板上形成層AB。接著將RB 自腔室吹淨。引入前驅物或反應物、將反應物吹淨、引入另一或相同的前驅物或反應物、及將反應物吹淨的此製程可重複數次,以達成所欲厚度的AB膜。在各ALD循環中所沉積之AB膜的厚度範圍可為自約0.5埃至約2.5埃。在若干實施例中,第一膜208可選自由下列各者所構成的群組:二氧化矽、氮化矽、氮氧化矽、及高介電常數(high-k)材料。high-k材料可選自由下列各者所構成的群組:鈦氧化物、鈦氮化物、鋁氧化物、鋁氮化物、鉿氧化物、鋯氧化物、及其組合。
依據本發明的一實施例,第一膜208可包含二氧化矽,其係在以上所述顯示於圖1中的批次處理系統10中藉由ALD沉積所沉積。可以循序及交替的脈衝次序來進行第一膜208(特別是二氧化矽間隔層)的沉積,以沉積第一膜208的不同化合物(例如在本說明書中為矽及氧)。由於ALD製程在每次氣體脈衝通常沉積少於該成分的單層,因此使用膜的不同成分之分開的沉積次序則可能形成均質的材料。各氣體脈衝可包含各別的吹淨或排空步驟,以自製程腔室16移除未反應的氣體或副產物。
因此,且作為一例示性實施例,具有圖案化的膜206之基板200配置於批次處理系統10的製程腔室16中,且循序暴露於含矽的氣體脈衝及含氧氣體的氣體脈衝,其中後者可包含O2 、H2 O、H2 O2 、臭氧、電漿激發的氧(例如用於PEALD系統中)、或其組合,以及選用性地包含惰性氣體,例如氬(Ar)。
矽可在圖案化的膜206及薄膜204的表面上反應以形成小於單層之厚度的化學吸附層。來自含氧氣體之氣體脈衝的氧接著可與化學吸附表面層反應。藉由重複此循序的氣體暴露(亦即,藉由交替兩暴露步驟複數次),則可能達到每循環約1埃(10-10 公尺)之量級的逐層生長,直至達成所欲之厚度為止。
仍參照圖2B,且現亦參照圖2C,在第一膜208的沉積之後,該方法包含在基板200其中各者上蝕刻第一膜208的部分,其中蝕刻第一膜208的部分之步驟包含移除第一膜208的至少一水平部分,同時實質上留下第一膜208的垂直部分。更具體而言,藉由蝕刻來移除在圖案化的膜206之水平部分212上以及在薄膜204之水平表面214上的第一膜208,同時留下在垂直表面210上的第一膜208。
往回參照圖1,蝕刻第一膜208的部分之步驟可藉由下列各者來執行:將第一蝕刻氣體注入處理空間76、78、80、82其中一或多者中,以及使第一膜208暴露於第一蝕刻氣體以移除第一膜208的部分。依據一實施例,第一蝕刻氣體可包含電漿激發的蝕刻氣體。依據一實施例,蝕刻可包含ALE,其中將不同的蝕刻氣體注入處理空間76、78、80、82其中一或多者中。在一範例中,針對蝕刻SiO2 膜,可將蝕刻氣體(例如BCl3 )注入處理空間78中,可將N2 吹淨氣體注入處理空間80及76中,以及可將電漿激發的Ar氣體注入處理空間82中。在另一範例中,可將蝕刻氣體注入處理空間78及82中,以及可將N2 吹淨氣體注入處理空間80及76中。
依據一實施例,圖2D中所示的第二膜216係保形地形成於圖案化的膜206及第一膜208上。可以相同或類似於針對第一膜208所述的方式來沉積第二膜216。在若干實施例中,第二膜216可選自由下列各者所構成的群組:二氧化矽、氮化矽、氮氧化矽、及high-k材料。high-k材料可選自由下列各者所構成的群組:鈦氧化物、鈦氮化物、鋁氧化物、鋁氮化物、鉿氧化物、鋯氧化物、及其組合。
仍參照圖2D,且現亦參照圖2E,在第二膜216的沉積之後,該方法包含在基板200其中各者上蝕刻第二膜216的部分,其中蝕刻第二膜216的部分之步驟包含移除第二膜216的至少一水平部分,同時實質上留下第二膜216的垂直部分。更具體而言,藉由蝕刻來移除在圖案化的膜206之水平部分212上以及在薄膜204之水平表面214上的第二膜216,同時留下在垂直表面210上的第二膜216。
往回參照圖1,蝕刻第二膜216的部分之步驟可藉由下列各者來執行:將第一蝕刻氣體注入處理空間76、78、80、82其中一或多者中,以及使第二膜216暴露於第一蝕刻氣體以移除第二膜216的部分。依據一實施例,第一蝕刻氣體可包含電漿激發的蝕刻氣體。依據一實施例,蝕刻可包含ALE,其中將不同的蝕刻氣體注入處理空間76、78、80、82其中一或多者中。
現轉向圖2F,可重複該方法一或更多次,直至所欲數目的第一膜208及第二膜216形成於圖案化的膜206上為止。
現參照圖2G,不與第一膜208及第二膜216重疊之圖案化的膜206的部分可由蝕刻製程來移除。例如,蝕刻製程可包含濕式或乾式蝕刻製程的任何組合,此為本領域具通常知識者所熟知。乾式蝕刻製程可包含乾式電漿蝕刻製程、乾式非電漿蝕刻製程、或其組合。例如,可使用氟碳化學物或含鹵素化學物來蝕刻餘留之圖案化的膜206。此外,例如,可使用以Cx Fy 為基礎的製程化學物、或以Cx Fy Hz 為基礎的製程化學物,或上述兩者皆可使用。又此外,例如,可使用CH2 F2 及CHF3 來蝕刻餘留之圖案化的膜206。並且,可使用以SF6 為基礎的化學物來蝕刻餘留之圖案化的膜206。此外,取決於材料,可使用以氯為基礎的化學物來蝕刻。
在圖案化的膜206被移除之情況下,可移除第一膜208或第二膜216的垂直部分。例如,如圖2H中所示,移除第一膜208,同時留下第二膜216。因此,第二膜216可包含當第一膜208(例如SiO2 )被移除時可抵抗蝕刻的材料(例如SiN)。第一膜208的移除可依據本說明書中所述的各種蝕刻方法或其他移除方法來進行,此為本領域具通常知識者所熟知。
在圖2H中的圖案結構之形成完成的情況下(亦即,僅留下第二膜216的垂直部分),可如所欲地進一步處理基板200。在本發明的一例示性實施例中,且如圖2I中所示,第二膜216的垂直部分可作為遮罩來使用,以將影像圖案轉移至下方層的至少一部分。尤其是,可蝕刻薄膜204及底膜202(若有需要)。然後可接著進行額外的或替代的製程。
儘管本發明已藉由其一或更多實施例的敘述來說明,且儘管已詳細地闡述該等實施例,但該等實施例並非意欲限定或以任何方法將隨附之申請專利範圍限制於如此的細節之範圍內。熟習本領域技術者當能輕易推知額外的優點與修改。因此,廣義地,本發明並不限於所示及所述的特定細節、代表性設備、方法及說明性的範例。故在不脫離本發明之廣義發明概念範圍的情況下,可變更如此的細節。
在各種實施例中,已揭露批式處理系統中之多膜沉積與蝕刻用方法及設備。本發明前述實施例的說明係為了解釋及說明的目的而提出。其並非意欲窮舉或將本發明限制於所揭露之精確型式。本說明及隨後之申請專利範圍包含許多用語,其僅用於說明性之目的,而不被解釋為限制性。根據上述教示,熟習本相關領域技術者可察知可能存在許多修改及變化。熟習本領域技術者將會理解圖式中所示之各種元件的各種等效結合與替代。因此,其意指,本發明之範疇並非由此詳細說明所界定,而係由本說明書中隨附的申請專利範圍所界定。
10‧‧‧批次處理系統
12‧‧‧輸入/輸出站
14‧‧‧負載/鎖定站
16‧‧‧製程腔室
18‧‧‧傳送腔室
20‧‧‧晶圓匣
21‧‧‧平台
22‧‧‧晶圓傳送機構
23‧‧‧平台
24‧‧‧晶圓傳送機構
26‧‧‧量測站
28‧‧‧冷卻站
30‧‧‧氣體注入器
32‧‧‧氣體注入器
34‧‧‧氣體注入器
36‧‧‧系統控制器
38‧‧‧氣體注入器
40‧‧‧周緣側壁
42‧‧‧方位軸
48‧‧‧承受器
52‧‧‧基板支撐體
54‧‧‧旋轉軸
68‧‧‧分隔部
70‧‧‧分隔部
72‧‧‧分隔部
74‧‧‧分隔部
76‧‧‧處理空間
78‧‧‧處理空間
80‧‧‧處理空間
82‧‧‧處理空間
84‧‧‧吹淨氣體供應系統
90‧‧‧第一製程材料供應系統
92‧‧‧第二製程材料供應系統
200‧‧‧基板
202‧‧‧底膜
204‧‧‧薄膜
206‧‧‧圖案化的膜
208‧‧‧第一膜
210‧‧‧垂直表面
212‧‧‧水平表面
214‧‧‧水平表面
216‧‧‧第二膜
在隨附圖式中:
圖1依據本發明之實施例,係為包含製程腔室之沉積系統的示意俯視平面圖;及
圖2A-2I依據本發明之實施例,透過示意橫剖面圖顯示用於處理基板的方法。

Claims (19)

  1. 一種在批次處理系統中處理複數基板的方法,該方法包含:a)在製程腔室中將該等基板配置於複數基板支撐體上,其中該製程腔室包含圍繞該製程腔室中之旋轉軸而界定的複數處理空間;b)使該複數基板支撐體繞該旋轉軸旋轉;c)藉由原子層沉積在該等基板其中各者上之圖案化的膜上沉積第一膜;d)蝕刻該等基板其中各者上之該第一膜的部分,其中蝕刻該第一膜的部分之該步驟包含移除該第一膜的至少一水平部分,同時實質上留下該第一膜的垂直部分;e)藉由原子層沉積在該等基板其中各者上的該第一膜上沉積第二膜,其中該第二膜包含與該第一膜不同的材料;且f)蝕刻該等基板其中各者上之該第二膜的部分,其中蝕刻該第二膜的部分之該步驟包含移除該第二膜的至少一水平部分,同時實質上留下該第二膜的垂直部分,其中沉積該第一膜的該步驟包含:將第一沉積前驅物注入由繞該旋轉軸之第一夾角所界定的第一處理空間中;於各完整旋轉期間在該第一處理空間中使該等基板其中各者之頂表面暴露於該第一沉積前驅物,以使該第一沉積前驅物的分子鍵結;於各完整旋轉期間在由繞該旋轉軸之第二夾角所界定的第二處理空間內,使該等基板其中各者暴露於惰性氣氛;將第二沉積前驅物注入第三處理空間中,其中該第三處理空間係由繞該旋轉軸之第三夾角所界定,且該第三處理空間與該第一處理空間被該第二處理空間分隔開;於各完整旋轉期間使該等基板其中各者之頂表面暴露於該第二沉積前驅物,其中該第二沉積前驅物不同於該第一沉積前驅物,且該第一膜係自在該等基板上該第二沉積前驅物與該第一沉積前驅物的反應而形成;在第四處理空間內使該等基板其中各者暴露於惰性氣氛,其中該第四處理空間係由繞該旋轉軸之第四夾角所界定,且該第四處理空間與該第二處理空間被該第三處理空間分隔開;且藉由重複地旋轉該等基板通過該第一、第二、第三、及第四處理空間,使該等基板其中各者之頂表面再次暴露於該第一及第二沉積前驅物,以在該等基板其中各者上逐漸沉積該第一膜,其中各個基板不是同時暴露於該第一沉積前驅物及該第二沉積前驅物兩者。
  2. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,更包含:重複步驟c)及d)、步驟e)及f)、或步驟c)、d)、e)及f)至少一次。
  3. 如申請專利範圍第1項之在批次處理系統中之處理基板的方法,其中該第一膜係選自由下列各者所構成的群組:二氧化矽、氮化矽、氮氧化矽、其他金屬或非金屬之氧化物、氮化物、或矽化物、以及高介電常數材料。
  4. 如申請專利範圍第3項之在批次處理系統中處理複數基板的方法,其中該高介電常數材料系選自由下列各者所構成的群組:鈦氧化物、鈦氮化物、鋁氧化物、鋁氮化物、鉿氧化物、鋯氧化物、及其組合。
  5. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中蝕刻該第一膜的該部分之該步驟包含:將第一蝕刻氣體注入該等處理空間其中一或多者中;且使該第一膜暴露於該第一蝕刻氣體,以移除該第一膜的該部分。
  6. 如申請專利範圍第5項之在批次處理系統中處理複數基板的方法,其中該第一蝕刻氣體包含電漿激發的蝕刻氣體。
  7. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中蝕刻該第一膜的該部分之該步驟包含原子層蝕刻,其中將不同的蝕刻氣體注入該等處理空間其中一或多者中。
  8. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中該第一沉積前驅物係選自由下列各者所構成的群組:矽前驅物、鍺前驅物、含金屬前驅物、及其組合。
  9. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中該第二沉積前驅物包含氧化來源、氮化來源、或氧化來源與氮化來源兩者。
  10. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中該第二沉積前驅物包含能夠移除或置換該第一沉積前驅物之表面配位基的矽化物來源或反應劑,以繼續該原子層沉積。
  11. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中該第二膜係選自由下列各者所構成的群組:二氧化矽、氮化矽、氮氧化矽、其他金屬或非金屬之氧化物、氮化物、或矽化物、以及高介電常數材料。
  12. 如申請專利範圍第11項之在批次處理系統中處理複數基板的方法,其中該高介電常數材料係選自由下列各者所構成的群組:鈦氧化物、鈦氮化物、鋁氧化物、鋁氮化物、鉿氧化物、鋯氧化物、及其組合。
  13. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中蝕刻該第二膜的該部分之該步驟包含:將第二蝕刻氣體注入該等處理空間其中一或多者中;且使該第二膜暴露於該第二蝕刻氣體,以移除該第二膜的該部分。
  14. 如申請專利範圍第13項之在批次處理系統中處理複數基板的方法,其中該第二蝕刻氣體包含電漿激發的蝕刻氣體。
  15. 如申請專利範圍第1項之在批次處理系統中處理複數基板的方法,其中蝕刻該第二膜的該部分之該步驟包含原子層蝕刻,其中將不同的蝕刻氣體注入該等處理空間其中一或多者中。
  16. 一種在批次處理系統中處理複數基板的方法,該方法包含:a)在製程腔室中將該等基板配置於複數基板支撐體上,其中該製程腔室包含圍繞該製程腔室中之旋轉軸而界定的複數處理空間;b)使該複數基板支撐體繞該旋轉軸旋轉;c)藉由原子層沉積在該等基板其中各者上之圖案化的膜上沉積第一膜;d)蝕刻該等基板其中各者上之該第一膜的部分,其中蝕刻該第一膜的部分之該步驟包含移除該第一膜的至少一水平部分,同時實質上留下該第一膜的垂直部分;e)藉由原子層沉積在該等基板其中各者上的該第一膜上沉積第二膜,其中該第二膜包含與該第一膜不同的材料;且f)蝕刻該等基板其中各者上之該第二膜的部分,其中蝕刻該第二膜的部分之該步驟包含移除該第二膜的至少一水平部分,同時實質上留下該第二膜的垂直部分,其中沉積該第二膜的該步驟包含:將第三沉積前驅物注入由繞該旋轉軸之第一夾角所界定的第一處理空間中;於各完整旋轉期間在該第一處理空間中使該等基板其中各者之頂表面暴露於該第三沉積前驅物,以使該第三沉積前驅物的分子鍵結;於各完整旋轉期間在由繞該旋轉軸之第二夾角所界定的第二處理空間內,使該等基板其中各者暴露於惰性氣氛;將第四沉積前驅物注入第三處理空間中,其中該第三處理空間係由繞該旋轉軸之第三夾角所界定,且該第三處理空間與該第一處理空間被該第二處理空間分隔開;於各完整旋轉期間使該等基板其中各者之頂表面暴露於該第四沉積前驅物,其中該第四沉積前驅物不同於該第三沉積前驅物,且該第二膜係自在該等基板上該第四沉積前驅物與該第三沉積前驅物的反應而形成;在第四處理空間內使該等基板其中各者暴露於惰性氣氛,其中該第四處理空間係由繞該旋轉軸之第四夾角所界定,且該第四處理空間與該第二處理空間被該第三處理空間分隔開;且藉由重複地旋轉該等基板通過該第一、第二、第三、及第四處理空間,使該等基板其中各者之頂表面再次暴露於該第三及第四沉積前驅物,以在該等基板其中各者上逐漸沉積該第二膜,其中各個基板不是同時暴露於該第三沉積前驅物及該第四沉積前驅物兩者。
  17. 如申請專利範圍第16項之在批次處理系統中處理複數基板的方法,其中該第三沉積前驅物係選自由下列各者所構成的群組:矽前驅物、鍺前驅物、含金屬前驅物、及其組合。
  18. 如申請專利範圍第16項之在批次處理系統中處理複數基板的方法,其中該第四沉積前驅物包含氧化來源、氮化來源、或氧化來源與氮化來源兩者。
  19. 如申請專利範圍第16項之在批次處理系統中處理複數基板的方法,其中該第四沉積前驅物包含能夠移除或置換該第三沉積前驅物之表面配位基的矽化物來源或反應劑,以繼續該原子層沉積。
TW106104383A 2016-02-12 2017-02-10 批式處理系統中之多膜沉積與蝕刻用方法及設備 TWI658492B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662294977P 2016-02-12 2016-02-12
US62/294,977 2016-02-12

Publications (2)

Publication Number Publication Date
TW201740431A TW201740431A (zh) 2017-11-16
TWI658492B true TWI658492B (zh) 2019-05-01

Family

ID=59559748

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106104383A TWI658492B (zh) 2016-02-12 2017-02-10 批式處理系統中之多膜沉積與蝕刻用方法及設備

Country Status (4)

Country Link
US (1) US9831099B2 (zh)
KR (1) KR102469407B1 (zh)
TW (1) TWI658492B (zh)
WO (1) WO2017139483A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607875B2 (en) * 2013-11-11 2020-03-31 Eryn Smith Automated electrostatic bonding/de-bonding apparatus
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10325777B2 (en) * 2017-08-30 2019-06-18 International Business Machines Corporation Utilizing multiple layers to increase spatial frequency
US12084766B2 (en) 2018-07-10 2024-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
JP6921799B2 (ja) * 2018-11-30 2021-08-18 東京エレクトロン株式会社 基板処理方法および基板処理システム
TW202117068A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 用於在ald程序中控制脈衝形狀的方法
CN113808938A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 一种多重图形化的方法
KR102329646B1 (ko) 2021-05-10 2021-11-19 심경식 다수 샤워헤드의 전력 및 가스 공급구조를 구비한 기판처리장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130084688A1 (en) * 2011-09-30 2013-04-04 Tokyo Electron Limited Multi-layer pattern for alternate ald processes
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US20140154887A1 (en) * 2012-12-03 2014-06-05 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
US20150194298A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film Deposition Using Spatial Atomic Layer Deposition Or Pulsed Chemical Vapor Deposition
US20150200110A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
US20160024653A1 (en) * 2013-03-15 2016-01-28 Applied Materials, Inc. Plasma Source For Rotating Platen ALD Chambers

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US7879728B2 (en) 2008-01-23 2011-02-01 International Business Machines Corporation Sub-lithographic printing method
US8465591B2 (en) 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
KR101099191B1 (ko) * 2008-08-13 2011-12-27 시너스 테크놀리지, 인코포레이티드 기상 증착 반응기 및 이를 이용한 박막 형성 방법
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
JP5602711B2 (ja) 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP5712879B2 (ja) 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2014195043A (ja) 2013-02-27 2014-10-09 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法並びにガス給排方法
KR101752075B1 (ko) * 2013-03-22 2017-07-11 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20140140462A (ko) * 2013-05-29 2014-12-09 (주)브이앤아이솔루션 원자층 증착 장치
US9443731B1 (en) * 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130084688A1 (en) * 2011-09-30 2013-04-04 Tokyo Electron Limited Multi-layer pattern for alternate ald processes
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US20140154887A1 (en) * 2012-12-03 2014-06-05 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
US20160024653A1 (en) * 2013-03-15 2016-01-28 Applied Materials, Inc. Plasma Source For Rotating Platen ALD Chambers
US20150194298A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film Deposition Using Spatial Atomic Layer Deposition Or Pulsed Chemical Vapor Deposition
US20150200110A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-Aligned Double Patterning With Spatial Atomic Layer Deposition

Also Published As

Publication number Publication date
KR20180104765A (ko) 2018-09-21
KR102469407B1 (ko) 2022-11-21
WO2017139483A1 (en) 2017-08-17
US20170236719A1 (en) 2017-08-17
US9831099B2 (en) 2017-11-28
TW201740431A (zh) 2017-11-16

Similar Documents

Publication Publication Date Title
TWI658492B (zh) 批式處理系統中之多膜沉積與蝕刻用方法及設備
US12112980B2 (en) Method to create air gaps
US12094711B2 (en) Tin oxide films in semiconductor device manufacturing
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102183336B1 (ko) 민감성 기판 상에 막을 증착하는 방법
US20190237341A1 (en) Tin oxide mandrels in patterning
JP7549097B2 (ja) 交互のエッチングプロセスおよび不動態化プロセス
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
TW201534556A (zh) 用於進階圖案化之軟著陸奈米層
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US11664215B2 (en) High selectivity atomic later deposition process
KR102722138B1 (ko) 에어 갭들을 생성하는 방법