JP6367322B2 - 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法 - Google Patents

湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法 Download PDF

Info

Publication number
JP6367322B2
JP6367322B2 JP2016519522A JP2016519522A JP6367322B2 JP 6367322 B2 JP6367322 B2 JP 6367322B2 JP 2016519522 A JP2016519522 A JP 2016519522A JP 2016519522 A JP2016519522 A JP 2016519522A JP 6367322 B2 JP6367322 B2 JP 6367322B2
Authority
JP
Japan
Prior art keywords
substrate
layer
metal
seed layer
metal seed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2016519522A
Other languages
English (en)
Other versions
JP2016526784A (ja
Inventor
ローマン ゴウク,
ローマン ゴウク,
スティーヴン ヴァーハーヴァーベーケ,
スティーヴン ヴァーハーヴァーベーケ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016526784A publication Critical patent/JP2016526784A/ja
Application granted granted Critical
Publication of JP6367322B2 publication Critical patent/JP6367322B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • C25D3/40Electroplating: Baths therefor from solutions of copper from cyanide baths, e.g. with Cu+

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)

Description

本開示の実施形態は、概して、材料を基板上に堆積する方法に関し、詳しくは、高アスペクト比を有する特徴を充填する方法に関する。
マルチレベルの45nmノードメタライゼーションは、次世代の超大規模集積(VLSI)の重要な技術の1つである。この技術処理の中心にあるマルチレベル相互接続部は、コンタクト、ビア、ライン、及び他の開孔を含む、高アスペクト比特徴を有する。VLSIを成功に導くうえで、また、個々の基板上の質や回路密度をたゆまず高め続けるうえで、これらの特徴形成の信頼性が非常に重要である。それ故、高さ:幅が20:1以上の高アスペクト比のボイドフリー特徴を形成すべく、多大な努力が払われている。
銅やタングステンは、基板にサブミクロン高アスペクト比コンタクト(HARC)などのVLSI特徴を形成するために選択される金属である。2つの導電性層間の間隙に配置した絶縁材料面の開孔(例えば、ビア)の内部に銅又はタングステンなどの導電性相互接続材料を堆積することによって、コンタクトが形成される。そのような開口は、アスペクト比が高くなると、導電性相互接続材料の堆積による開孔の充填を阻害することがある。銅及びタングステンは一般に普及している相互接続材料であるが、これらの材料を堆積するための堆積処理は、コンタクトプラグ内でボイド又はシームが形成される虞れがある。
したがって、コンタクト材料がボイド、シーム、その他の欠陥がない状態で堆積されるように、導電性コンタクト材料で特徴を充填する方法が求められている。
本開示の実施形態は、概して、材料を基板上に堆積する方法に関し、詳しくは、高アスペクト比を有する特徴を充填する方法に関する。1つの実施形態では、基板に材料を堆積する方法が提供される。方法は、露出したシリコンコンタクト表面が開孔底部に設けられた開孔を有するシリコン基板を準備することと、開口の底部の露出したシリコンコンタクト表面上に金属シード層を堆積することと、金属シード層上に金属層を形成するために、電流を基板の裏側に流すことによって、基板に電気メッキ処理を施すこととを含む。いくつかの実施形態では、金属シード層上に金属層を形成するために、電流をシリコン基板の裏側に流すことによって、基板に電気メッキ処理を施すことは、フッ化水素酸溶液を含む湿式コンタクト溶液に基板の裏側を曝露すること、及び銅含有溶液にシード層を曝露することを含む。
別の実施形態によれば、材料を基板に堆積する方法が提供される。方法は、フィールド領域と、裏側と、フィールド領域から裏側に向かって延びる特徴であって、少なくとも1つの側壁及び底面を有する特徴とを有するシリコン基板を準備することと、フィールド領域、少なくとも1つの側壁及び底面上に共形性バリア層を堆積することと、共形性バリア層の一部を特徴の底面から除去してシリコン基板を露出することと、特徴の底部において露出したシリコン基板上に金属シード層を堆積することと、金属シード層上に金属層を形成するために、電流をシリコン基板の裏側に流すことによって、基板に電気メッキ処理を施すこととを含む。
本開示の上述の特徴を詳細に理解できるように、先ほど簡単に要約された本開示のより具体的な説明が、実施形態を参照することによってなされ、実施形態の一部は、添付図面に示されている。しかし、本開示が他の等しく有効な実施形態を許容し得ることから、添付図面は、本開示の典型的な実施形態のみを例示しており、本発明の範囲が限定されると見なされるべきではないことに留意されたい。
図1Aから図1Fは、本明細書で説明される実施形態によるSi貫通電極(TSV)製造処理の概略断面図を示す。 本明細書で説明される実施形態による堆積処理を表すフローチャートを示す。 本明細書で説明される堆積処理を実行するために使用され得るメッキセルの概略断面図を示す。 本明細書で説明される堆積処理を実行するために使用され得るメッキセルの別の概略断面図を示す。
理解を容易にするように、可能な場合には、図に共通する同一の要素を示すために同一の参照番号を使用した。1つの実施形態の要素及び/又は処理ブロックは、追加の記述がなくても、他の実施形態に有益に統合され得ると考えられる。
本開示の実施形態は、概して、材料を基板上に堆積するための方法に関し、より詳しくは、高アスペクト比特徴を充填するための方法に関する。本明細書で説明される実施形態は、Si貫通電極(TSV)適用において特に有益である。本明細書で説明される方法の実施形態はまた、標準基板上へのメッキ適用にも適している。TSV適用は、3Dパッケージ及び3D集積回路内などにおいて、シリコン基板を完全に貫通する電気的接続を含む。TSV適用は、通常、互いの上に配置される複数の集積回路を含む。例えば、3D集積回路は、互いに垂直に積み重ねられた複数のシリコン基板を含み得る。
本明細書で説明されるいくつかの実施形態は、TSVの銅メッキに関する。従来のメッキ処理は、堆積されたままの銅材料の共形性不足のため、高アスペクト比(AR〜20−50)TSVにおいて銅メッキするのに不十分である。銅メッキ共形性を部分的に改善し、ボトムアップメッキを誘導するために、様々な添加物が、通常、銅メッキ化学成分に加えられる。しかしながら、そのような添加物の追加は、メッキ速度を急激に低下させる。したがって、銅メッキ速度を最大にするために、最少の添加物を含む単純な銅含有化学物質でメッキすることが望ましい。
本明細書で説明されるいくつかの実施形態では、湿式ウエハ裏側コンタクトを使用したボトムアップビアメッキのための方法が、高メッキ速度で共形性銅堆積を実現するために使用される。いくつかの実施形態では、金属膜又は銀ペーストが、基板の裏側に適用され、電流が基板を通って流れることが可能になる。しかしながら、銀ペースト又は金属膜の使用によって、処理に複雑さが加わる。
本明細書で説明される方法及び構造は、クラスタツールなどの統合された処理ツールにそれぞれ結合され、又は統合された処理ツールの一部である個々のチャンバ内で実行され得る。統合ツールの例は、CENTURA(登録商標)及びENDURA(登録商標)統合ツールを含み、双方ともカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能である。1つの実施形態では、クラスタツールは、周期的層堆積、化学気相堆積(CVD)、物理的気相堆積(PVD)、原子層堆積(ALD)、エッチング、前洗浄、ガス抜き、アニール、配向、及び他の基板処理などの多くの基板処理を実行するように構成される処理チャンバを有し得る。
図1Aから図1Fは、処理シーケンス200(図2)の様々な処理ブロックが基板100上で実行される際の特徴102の概略断面図を示す。ブロック210において、特徴102は、図1Aで表されるように基板100に形成される。図1Aは、フィールド領域105、裏側106及び基板100の表面に形成される特徴102を有する基板100の断面図を示す。特徴102は、少なくとも1つの側壁108と、底面110とを有する。特徴102は、コンタクト孔、ビア、又はトレンチなどの開孔を含み得る。開孔がビアであるいくつかの実施形態では、ビアは、高アスペクト比(例えば、AR〜20−50)を有する。基板100は、例えば、シリコン、ゲルマニウム、又はシリコンゲルマニウムなどの半導体材料を含み得る。特徴102は、従来のリソグラフィ及びエッチング技術を使用して、基板100に形成され得る。いくつかの実施形態では、特徴102は、ボッシュ法などのパルスエッチング又は時分割多重エッチングを使用して形成され得る。
オプションで、ブロック220において、薄い酸化物層114が、図1Bに表されるように基板100のフィールド領域105上に形成される。薄い酸化物層114は、約500Åから約1000Åまでの厚さを有し得る。薄い酸化物層14は、酸化物含有シリコン層(例えば、SiO、SiO)であり得る。酸化物層は、基板100に洗浄処理を施すことによって、フィールド領域105上に形成され得る。いくつかの実施形態では、オプションの洗浄処理は、基板100を、標準洗浄−1(「SC−1」)化学物質に(例えば、NHOH(水酸化アンモニウム)+H(過酸化水素)+HO(水)の1:1:5の溶液に、摂氏75度又は80度で通常10分間)曝露することを含み得る。オプションの前洗浄処理は、フッ化水素酸含有溶液、及び標準洗浄2(「SC−2」)化学物質(例えば、摂氏75度又は80度でHCl+H+HOの1:1:6の溶液)の少なくとも1つに曝露することを更に含み得る。いくつかの実施形態では、薄い酸化物層114は、化学気相堆積(CVD)などの堆積技術を使用して、フィールド領域上に形成され得る。
ブロック230において、基板100への銅拡散を防止するために、共形性バリア層120が、図1Cに表されるように、基板100のフィールド領域105及び特徴102上を覆って形成され得る。バリア層120は、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)又はそれらの組み合わせを含む、適する堆積処理を使用して形成され得る。1つの実施形態では、バリア層120は、クラスタツールのチャンバによって形成され得る。1つの実施形態では、基板100は、プラズマALD(PE−ALD)、プラズマCVD(PE−CVD)、又は高密度プラズマCVD(HDP−CVD)チャンバ、例えば、カリフォルニア州サンタクララのApplied Materials Inc.,から入手可能なULTIMA HDP−CVD(登録商標)、Centura iSprint(登録商標)若しくはEndura iLB(登録商標)システムなどの中に設置され得る。
1つの実施形態では、バリア層120は、物理的気相堆積(PVD)、化学気相堆積(CVD)、原子層堆積(ALD)堆積処理を使用して形成される。バリア層120は、ルテニウム(Ru)、チタン(Ti)、窒化チタン(TiN)、タングステン(W)、窒化タングステン(WN)、タンタル(Ta)、窒化タンタル(TaN)又はこれらの材料を含有する他の合金を含む、単一の堆積層又は複数の堆積層であり得る。いくつかの実施形態では、単一の堆積層又は複数の堆積層スタックは、酸化物層を含み得る。いくつかの実施形態では、酸化物層は、図1Bに表されるように酸化物層114であり得る。酸化物層は、酸化ケイ素又は二酸化ケイ素含有層であり得る。酸化ケイ素又は二酸化ケイ素層は、絶縁層として機能し得る。酸化ケイ素又は二酸化ケイ素層は、CVD処理を使用して堆積され得る。1つの実施形態では、複数の堆積層スタックは、二酸化ケイ素を含有する第1の層と、TaNを含有する第2の層とを有し得る。二酸化ケイ素は、オルトケイ酸テトラエチル(TEOS)から引き出され得る。いくつかの実施形態では、堆積バリア層120は、約500Åから約2000Åまでの厚さであり得る。いくつかの実施形態では、堆積バリア層120は、約1000Åから約1500Åまでの厚さであり得る。
酸化物層114が存在するいくつかの実施形態では、バリア層120は、酸化物層114上を覆って堆積する。酸化物層114が存在しないいくつかの実施形態では、バリア層120は、フィールド領域105上に直接堆積する。
ブロック240において、図1Dに表されるように、特徴102の底面110を露出するために、バリア層120の一部が除去される。バリア層は、例えば、反応性イオンエッチング処理又はスパッタリングエッチング処理などのエッチング処理を使用して、底面110から除去され得る。いくつかの実施形態では、バリア層120の露出面は、シリコン基板100のシリコン材料を露出する特徴102の底面110からバリア層120を除去するために、方向性エッチングが行われ得る。シリコン基板100のフィールド領域105上のバリア層120は、方向性エッチング処理中に薄くされ又は完全に除去され得る。矢印124’は、アルゴンガスの、方向性エッチング処理中のバリア層120の上(平)面との衝突を引き起こす、処理中の基板の基板表面付近での電場発生によるガスイオン移動方向を表す。矢印124”は、同様に、特徴102の底面110でのガスイオン移動方向を示す。側壁108に沿ったバリア層120は、薄く成り得るが、実質的にはエッチング処理に影響されず、したがって、側壁108上を覆って堆積したバリア層120は、エッチング処理完了後も損傷のない状態を維持する。残存する薄いバリア層120は導電性パスを提供し得るが、薄いバリア層の抵抗は、通常非常に高く、結果として、フィールド領域105及び/又は側壁108上にかなりの量のメッキが存在することはなく、ゆえにボトムアップ充填が提供される。
ブロック250において、図1Eに表されるように、金属シード層130が、特徴102の底面110で露出されたシリコン上に堆積される。金属シード層130は、物理的気相堆積(PVD)、化学気相堆積(CVD)、無電解堆積又は原子層堆積(ALD)堆積処理を使用して、底面110上に堆積し得る。いくつかの実施形態では、金属シード層130堆積処理は、前述のバリア層堆積処理と同一の堆積チャンバで行われ得る。いくつかの実施形態では、金属シード層130は、銅(Cu)層、ルテニウム(Ru)層、パラジウム(Pd)層、ニッケル(Ni)層、コバルト(Co)層、又はこれらの元素のうちの一又は複数を含有する合金の層であり得る。いくつかの実施形態では、堆積金属シード層130は、約10nmから約250nmまでの厚さである。いくつかの実施形態では、堆積金属シード層130は、約100nmから約200nmまでの厚さである。
金属シード層130がニッケル層であるいくつかの実施形態では、ニッケル層は、無電解メッキ処理を使用して堆積され得る。シリコン表面の準備は、より良好な粘着性を生成すると考えられる化学酸化物を再成長させるために、フッ化水素酸エッチング及びSC−1浸漬のうちの少なくとも1つを含み得る。無電解ニッケルメッキ溶液は、ニッケル源(例えば、NiSO)、還元剤(例えば、NHF)、及びDI水を含み得る。無電解メッキ溶液は、約pH5から約pH6までを有し得る。無電解ニッケル堆積処理は、固定撹拌(impalement stirring)又は超音波処理などのオプションの撹拌で、摂氏95度以上の温度で実行され得る。
ブロック260において、オプションで、金属シード層130は、特徴102の底面110で金属ケイ素化合物層(図示されず)を形成するためにアニールされ得る。金属ケイ素化合物層は、金属シード層130の少なくとも一部と、シリコン含有基板100の少なくとも一部とを含む。例示的アニール処理は、熱アニール処理(例えば、RTP)、レーザーアニール処理、例えば、ミリ秒アニール処理、ナノ秒アニール処理、及びマイクロ秒アニール処理など、並びにフラッシュランプアニール処理を含む。金属ケイ素化合物層は、摂氏約400度から摂氏約1200度未満の範囲の温度でアニールすることにより形成され得る。金属ケイ素化合物層は、摂氏約700度から摂氏約1000度未満の範囲の温度でアニールすることにより形成され得る。
ブロック270において、図1Fに示されるように、電流を基板100の裏側106を通して流し、電気メッキ処理によって金属層140で特徴102のボトムアップ充填が行われる。いくつかの実施形態では、特徴102は、特徴102の底部における金属シード層130から、層がフィールド領域105と大体同じ高さになるまで、優先的に充填される(例えば、ボトムアップ充填)。いくつかの実施形態では、金属層140は、銅(Cu)層、コバルト(Co)層、ニッケル(Ni)層、銀(Ag)層、又はこれらの元素のうちの一又は複数を含有する合金の層であり得る。いくつかの実施形態では、特徴102を充填するために、2以上の層が連続的に堆積される多重層充填処理を使用して、特徴102が充填される。例示的ボトムアップ充填電気メッキ処理が、図3及び図4を参照して以下で説明される。概して、金属層140は、一又は複数の金属を含有する層の堆積を可能にする、一又は複数の金属イオン源を含む電気メッキ堆積溶液を使用して、堆積され得る。1つの実施形態では、金属イオンのうちの1つは、銅イオンであり、残りの金属イオンは、アルミニウム(Al)、インジウム(In)、モリブデン(Mo)、タングステン(W)、マンガン(Mn)、コバルト(Co)、スズ(Sn)、ニッケル(Ni)、マグネシウム(Mg)、レニウム(Rh)、ベリリウム(Be)、リン(P)、ホウ素(B)、ガリウム(Ga)、又はルテニウム(Ru)から成るグループから選択された金属である。いくつかの実施形態では、約0.5Amp〜2Ampまでの電流が使用される。いくつかの実施形態では、堆積バイアスは、概して、約0.0005A/cm〜約0.01A/cm又はそれ未満の電流密度を有する。
処理シーケンス200のいくつかの実施形態では、バリア層120は、電気化学処理又は化学機械研磨(CMP)処理などの材料除去処理の使用によって、フィールド領域105から除去され得る。いくつかの実施形態では、バリア層120は、ブロック240の処理中にフィールド領域105から除去され得る。いくつかの実施形態では、この処理ブロックは、金属層140の堆積実行後に任意の過度のメッキの残り(any over plating leftover)を除去する処理を含む。基板100はまた、任意のメッキ溶液及び/又は湿式コンタクト溶液を除去するために、洗浄処理に曝露され得る。洗浄処理は、スパイン(spine)、すすぎ、及び乾燥のうちの少なくとも1つを含み得る。
図3は、本明細書で説明される堆積処理を実行するために使用され得るメッキセル300の概略断面図を示す。図4は、本明細書で説明される堆積処理を実行するために使用され得るメッキセル300の別の概略断面図を示す。図3のメッキセル300及び図4のメッキセル300は、使用される湿式コンタクト溶液を除き、同一である。図3及び図4に表される湿式コンタクト溶液が例示的なものであることも理解されたい。メッキセル300は、湿式コンタクト溶液区画310とメッキ溶液区画320とを備え、それらの間には基板100が位置付けられた状態である。メッキセル300は垂直な配向を有する(即ち、基板が垂直な配向を有する)ように図3には表されているが、メッキセルは、湿式コンタクト溶液区画310がメッキ溶液区画320の下に位置付けられた状態で水平な配向を有し得ることも理解されたい。
可溶性アノード330が、メッキ溶液区画320内に位置付けられる。可溶性アノード330は、通常、基板100上にメッキされる材料を含む。例えば、基板100上に銅がメッキされるいくつかの実施形態では、可溶性アノードは、銅を含み、メッキ溶液区画320のメッキ溶液に銅イオンを供給する。
不溶性電極340は、湿式コンタクト溶液区画内に位置付けられる。不溶性電極は、通常、湿式コンタクト溶液区画の処理化学物質に対して不活性の材料を含む。いくつかの実施形態では、不溶性電極340は、ホウ素でドープされた炭素(BDC)を含む。
メッキセル300は、メッキセル300の様々な構成要素に電力を供給するための電源360に結合され得る。電源360は、RF又はDC源であり得る。電源360は、コントローラ370と結合され得る。コントローラ370は、メッキセル300の動作を制御するためにメッキセル300と結合され得る。コントローラ370は、一又は複数のマイクロプロセッサ、マイクロコンピュータ、マイクロコントローラ、専用ハードウェア又はロジック、及びそれらの組み合わせを含み得る。
メッキ溶液区画320は、事前に混合されたメッキ溶液又はメッキ溶液を形成するための前駆体をメッキ溶液区画320に供給するための第1の流体供給部364と結合され得る。湿式コンタクト溶液区画310は、湿式コンタクト溶液及び任意の追加の添加物を湿式コンタクト溶液区画310に供給するための第2の流体供給部366と結合され得る。
いくつかの実施形態では、湿式コンタクト溶液は、電流を基板の裏側に供給可能な導電性溶液を含む。いくつかの実施形態では、湿式コンタクト溶液は、電解質を含む。いくつかの実施形態では、湿式コンタクト溶液は、導電性酸(conductive acid)を含む。導電性酸がウエハの裏側からシリコンを除去し、ウエハと電極との間に電流の流れを提供すると考えられる。1つの例示的導電性酸は、フッ化水素酸である。フッ化水素酸は、約10%(w/w)から約49%(w/w)までの水溶液であり得る。湿式コンタクト溶液は、溶液の導電率を上げるために追加の塩を含み得る。例示的追加の塩は、フッ化カリウムを含む。1つの実施形態では、湿式コンタクト溶液は、フッ化水素酸溶液、水溶性(49%)及びフッ化カリウムを含む。
いくつかの実施形態では、湿式コンタクト溶液は、導電性塩基(conductive base)を含む。いくつかの実施形態では、導電性塩基は、水酸化カリウム(KOH)である。KOHなどの導電性塩基は、通常、多孔性のシリコン形成を引き起こすことはなく、111結晶性配向のシリコンに対して低エッチング速度(46Å/分)を示す。KOHなどの導電性塩基はまた、湿式コンタクトを提供するのに十分な〜15A/分で、上昇した温度でSiOをエッチングすることもできる。実験結果は、KOH系湿式コンタクト溶液で時間の関数として改善されたメッキ電流安定性を確認した。導電性塩基(例えば、KOH)の使用によって、導電性酸が使用されるとウエハ裏側の多孔性シリコンの形成により発生し得るメッキ電流損失が防止されると考えられる。
メッキ溶液:
1つの実施形態では、メッキ溶液は、金属イオン源、及び少なくとも一又は複数の酸性溶液を含む。いくつかの実施形態では、メッキ溶液は、電気メッキ溶液である。他の実施形態では、メッキ溶液は、無電解メッキ溶液である。適する酸性溶液は、例えば、アンモニウム及びそのカリウム塩を含む酸電解質誘導体だけではなく、硫酸、リン酸、ピロリン酸、塩酸、過塩素酸、酢酸、クエン酸、それらの組み合わせなどの無機酸も含む。
いくつかの実施形態では、メッキ溶液内の金属イオン源は、銅イオン源である。有用な銅源は、硫酸銅(CuSO)、硫化銅(I)(CuS)、硫化銅(II)(CuS)、塩化銅(I)(CuCl)、塩化銅(II)(CuCl)、酢酸銅(Cu(COCH)、ピロリン酸銅(Cu)、フルオロホウ酸銅(Cu(BF)、酢酸銅((CHCOCu)、アセチルアセトナート銅((CCu)、リン酸銅、硝酸銅、炭酸銅、スルファミン酸銅、スルホン酸銅、ピロリン酸銅、シアン化銅、それらの誘導体、それらの水和物、又はそれらの組み合わせを含む。いくつかの銅源は、通常、CuSO5HO、CuCl2HO及び(CHCOCuHOなどの水和誘導体として入手可能である。電解質組成物はまた、同様にアルカリ性の銅めっき溶液槽(例えば、シアン化物、グリセリン、アンモニアなど)に基づくこともできる。1つの実施形態では、電解質の銅イオンの濃度は、約0.1Mから約1.1Mまでの範囲であり得る。1つの実施形態では、電解質の銅イオンの濃度は、約0.4Mから約0.9Mまでの範囲であり得る。
オプションで、メッキ溶液は、一又は複数の添加物化合物を含み得る。いくつかの実施形態では、メッキ溶液は、酸化剤を含む。本明細書で使用されるように、酸化剤は、金属層を対応する酸化物に、例えば、銅を銅酸化物に、酸化させるために使用され得る。適する酸化剤の例は、過酸化水素並びにその付加物であって、過酸化尿素、過炭酸塩、及び例えば、アルキル過酸化物、循環又はアリール過酸化物、過酸化ベンゾイル、過酢酸、及びジ-t-ブチル過酸化物を含む有機過酸化物を含む付加物などの、例えば、ヒドロキシルラジカルを通して解離し得る化合物のようなペルオキシ化合物を含む。例えば、アンモニウムペルオキシ二硫酸、ペルオキソ二硫酸カリウム、過硫酸アンモニウム、及び過硫酸カリウムを含む、モノ過硫酸塩及びジ過硫酸塩などの硫酸塩及び硫酸塩誘導体もまた使用され得る。過炭酸ナトリウム及び過酸化ナトリウムなどのペルオキシ化合物の塩もまた、使用され得る。いくつかの実施形態では、酸化剤を、容量又は重量で約0.001%から約90%の範囲の量でメッキ溶液に含むことができる。別の実施形態では、酸化剤を、容量又は重量で約0.01%から約20%の範囲の量でメッキ溶液に含むことができる。更に別の実施形態では、酸化剤を、容量又は重量で約0.1%から約15%の範囲の量でメッキ溶液に含むことができる。
いくつかの実施形態では、エネルギーデバイスを形成するのに必要な所有コストを削減するために、所望のpHを有する安価な電解質を形成するように、水酸化カリウム(KOH)又は水酸化ナトリウム(NaOH)などの低コストのpH調整剤を加えることが望ましい。いくつかの実施形態では、pHを調整するために水酸化テトラメチルアンモニウム(TMAH)を使用することが望ましい。
いくつかの実施形態では、メッキされ(plate out)又は成長する電気化学的に堆積された層に若しくは電気化学的に堆積された層の粒界上に統合されることになる、主要な金属イオン含有電解質溶液槽(例えば、銅イオン含有槽)に、第2の金属イオンを加えることが望ましいことがある。ある割合の第2の成分を含む金属層の形成は、形成される層の内在応力を低減し及び/又はその電気特性及びエレクトロマイグレーション特性を改善するために有用である可能性がある。1つの実施形態では、電解質溶液内の金属イオン源は、銀、スズ、亜鉛、コバルト、ニッケルのイオン源、及びそれらの組み合わせを含むグループから選択されるイオン源である。1つの実施形態では、電解質の銀(Ag)、スズ(Sn)、亜鉛(Zn)、コバルト(Co)、ニッケル(Ni)イオンの濃度は、約0.1Mから約0.4Mまでの範囲であり得る。
適するニッケル源の例は、硫酸ニッケル、塩化ニッケル、酢酸ニッケル、リン酸ニッケル、それらの誘導体、それらの水和物又はそれらの組み合わせを含む。
適するスズ源の例は、可溶性スズ化合物を含む。可溶性スズ化合物は、第二スズ塩又は第一スズ塩とすることができる。第二スズ塩又は第一スズ塩は、硫酸塩、アルカンスルホン酸塩、又はアルカノールスルホン酸塩とすることができる。例えば、溶液槽可溶性スズ化合物は、以下の化学式の一又は複数の第一スズアルカンスルホン酸塩(stannous alkane sulfonates)とすることができ:
(RSOSn
この場合、Rは1から12の炭素原子を含むアルキル基である。第一スズアルカンスルホン酸塩は、以下の化学式による第一スズメタンスルホン酸塩(stannous methane sulfonate)とすることができ:
Figure 0006367322
溶液槽可溶性スズ化合物はまた、以下の化学式の硫酸第一スズとすることもできる:
SnSO
可溶性スズ化合物の例はまた、メタンスルホン酸、エタンスルホン酸、2−プロパノールスルホン酸、p−フェノールスルホン酸などの有機スルホン酸のスズ塩(II)、ホウフッ化スズ(II)、スルホコハク酸スズ(II)、硫酸スズ(II)、酸化スズ(II)、塩化スズ(II)などを含むこともできる。これらの可溶性スズ(II)化合物は、単独で又は2種類以上の組み合わせで使用され得る。
適するコバルト源の例は、硫酸コバルト、硝酸コバルト、塩化コバルト、臭化コバルト、炭酸コバルト、酢酸コバルト、エチレンジアミン4酢酸コバルト、アセチルアセトナートコバルト(II)、アセチルアセトナートコバルト(III)、グリシンコバルト(III)、ピロリン酸コバルト、及びそれらの組み合わせから選択されたコバルト塩を含み得る。
メッキ溶液はまた、約20ppmから約600ppmの範囲内の濃度でマンガン又は鉄を含み得る。別の実施形態では、メッキ溶液はまた、約100ppmから約400ppmの範囲内の濃度でマンガン又は鉄を含み得る。可能な鉄源は、水和物を含む塩化鉄(FeCl)、塩化鉄(III)(FeCl)、酸化鉄(II)(FeO)、酸化鉄(III)鉄(II)(Fe)、及び酸化鉄(III)(Fe)を含む。可能なマンガン源は、酸化マンガン(IV)(MnO)、硫酸マンガン(II)一水和物(MnSO・HO)、塩化マンガン(II)(MnCl)、塩化マンガン(III)(MnCl)、フッ化マンガン(MnF)、及びリン酸マンガン(Mn(PO)を含む。
いくつかの実施形態では、メッキ溶液は、銅源化合物及び錯化した銅イオンの代わりに自由銅イオンを含む。
いくつかの実施形態では、メッキ溶液はまた、堆積処理中に安定性及び制御を提供しつつ、銅イオンとの錯体を形成するために、少なくとも1つの錯化剤又はキレート剤を含み得る。錯化剤はまた、無電解銅溶液に緩衝特性を提供する。錯化剤は、概して、カルボン酸、ジカルボン酸、ポリカルボン酸、アミノ酸、アミン、ジアミン又はポリアミンなどの官能基を有する。無電解銅溶液に対して有用な錯化剤のいくつかの例は、エチレンジアミン4酢酸(EDTA)、エチレンジアミン(EDA)、クエン酸、クエン酸塩、グリオキシル酸、グリシン、アミノ酸、それらの誘導体、それらの塩又はそれらの組み合わせを含む。1つの実施形態では、メッキ溶液は、約50mMから約500mMの範囲内の濃度で錯化剤を有し得る。別の実施形態では、メッキ溶液は、約75mMから約400mMの範囲内の濃度で錯化剤を有し得る。更に別の実施形態では、メッキ溶液は、約100mMから約300mMの範囲内、例えば、約200mMの濃度で錯化剤を有し得る。1つの実施形態では、EDTA源は、メッキ溶液内で錯化剤として使用される。1つの例では、メッキ溶液は、約205mMのEDTA源を含む。EDTA源は、EDTA、エチレンジアミン4酢酸、それらの塩、それらの誘導体又はそれらの組み合わせを含み得る。
ある実施形態では、メッキ溶液は、少なくとも1つの還元剤を含む。還元剤は、本明細書で説明されるように、銅材料を形成及び堆積しつつ、銅イオンの化学的還元を誘導するために電子を提供する。還元剤は、有機還元剤(例えば、グリオキシル酸又はホルムアルデヒド)、ヒドラジン、有機ヒドラジン(例えば、メチルヒドラジン)、次亜リン酸塩源(例えば、次亜リン酸(HPO)、次亜リン酸アンモニウム((NH4−xPO)又はその塩)、ボラン源(例えば、ジメチルアミンボラン錯体((CHNHBH)、DMAB)、トリメチルアミンボラン錯体((CHNBH)、TMAB)、tert−ブチルアミンボラン錯体(tBuNHBH)、テトラヒドロフランボラン錯体(THFBH)、ピリジンボラン錯体(CNBH)、アンモニアボラン錯体(NHBH)、ボラン(BH)、ジボラン(B)、それらの誘導体、それらの錯体、それらの水和物又はそれらの組み合わせを含む。1つの実施形態では、メッキ溶液は、約20mMから約500mMの範囲内の濃度で還元剤を有し得る。別の実施形態では、メッキ溶液は、約100mMから約400mMの範囲内の濃度で還元剤を有し得る。更に別の実施形態では、メッキ溶液は、約150mMから約300mMの範囲内、例えば、約220mMの濃度で還元剤を有し得る。好ましくは、有機還元剤又は有機含有還元剤が、グリオキシル酸又はグリオキシル酸源などのメッキ溶液内で利用される。グリオキシル酸源は、グリオキシル酸(glyoxylic acid)、グリオキシル酸(glyoxylates)、それらの塩、それらの錯体、それらの誘導体又はそれらの組み合わせを含み得る。1つの例では、グリオキシル酸一水和物(HCOCOH・HO)は、約217mMの濃度で無電解銅溶液内に含まれる。
メッキ溶液は、例えば、レベラー(leveler)、抑制剤、サプレッサー(suppressor)、光沢剤、促進剤であり得る他の添加物、又は通常、メッキされている基板の表面に吸着する有機材料である、当技術分野で既知の他の添加物を含み得る。有用なサプレッサーは、通常、基板表面上に吸着するポリエチレンなどのポリエーテル、グリコール、ポリプロピレン酸化物などの他のポリマーを含み、吸収エリアの銅堆積を減速する。有用な促進剤は、通常、吸着部位用のサプレッサーと拮抗する硫化物又はジスルフィド、例えば、ビス(3−スルホプロピル)ジスルフィドなどを含み、吸収エリアの銅堆積を加速する。有用な抑制剤は、通常、基板上の銅堆積速度を抑制する安息香酸ナトリウム及び亜硫酸ナトリウムを含む。メッキ中に、基板表面で添加物が消費されるが、電気メッキ溶液によって絶えず補充されている。しかしながら、様々な添加物の拡散速度の差は、特徴の上部及び底部での異なる表面濃度をもたらし、これによって、特徴での異なるメッキ速度が設定される。理想的には、これらのメッキ速度は、ボトムアップ充填のための特徴の底部でより高くなるべきである。したがって、メッキ溶液の添加物の適切な組成物は、特徴のボイドフリー充填を実現するために使用され得る。
メッキ溶液はまた、界面活性剤を有し得る。界面活性剤は、銅含有溶液と基板表面との間の表面張力を低減するための湿潤剤として作用する。1つの実施形態では、メッキ溶液は、概して、約1000ppm以下の濃度で界面活性剤を含む。別の実施形態では、メッキ溶液は、概して、約500ppm以下の濃度で、例えば、約100ppmから約300ppmの範囲内などの濃度で、界面活性剤含む。界面活性剤は、イオン的又は非イオン的特性を有し得る。例示的界面活性剤は、ポリエチレングリコール(PEG)、ポリプロピレングリコール(PPG)などのグリコールエーテル系界面活性剤を含む。有益な特性のため、PEG及びPPGは、界面活性剤、抑制剤及び/又はサプレッサーとして使用され得る。1つの例では、グリコールエーテル系界面活性剤は、The Dow Chemical Companyから入手可能なTRITON(登録商標)100などのポリオキシエチレンユニットを含み得る。無電解銅溶液内で使用され得る他の界面活性剤は、ドデシル硫酸ナトリウム(SDS)などの硫酸ドデシルを含む。界面活性剤は、可変長の炭化水素鎖を含む分子を有する単一の化合物又は化合物の混合物であり得る。
本明細書に記載されるメッキ溶液の残余又は残りは、イオンが除去された水などの水を含む極性溶媒と、例えば、アルコール又はグリコールなどの有機溶媒などの溶媒であり得る。
1つの実施形態では、メッキ溶液は、220g/LのCuSO、27ml/LのHSO、一滴のHCl、及び残り1LまでのDI水を含む。
実施例
次に続く非限定的例は、本明細書に記載された実施形態を更に図示するために提供される。しかしながら、例は、包括的であることを意図しておらず、本明細書で説明される実施形態の範囲を限定することも意図していない。
実施例1:
8cm×8cmの大きさのシリコンクーポンが、図3のメッキセル位置に類似したメッキセルに位置付けられた。シリコンクーポンの前側は、220g/LのCuSO、27ml/LのHSO、一滴のHCl、及び残りは1LまでのDI水を含むメッキ溶液に曝露された。シリコンクーポンの裏側は、フッ化水素酸溶液、水溶性(49%)及びフッ化カリウムを含む湿式コンタクト溶液に曝露された。0.005A/cmの電流密度及び1.24から1.3Vの電位が、およそ4分間にわたって印加された。薄い銅層がシリコンクーポン上に堆積され、湿式裏側コンタクトを使用して銅がシリコン上にメッキできることを上手く証明した。
上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の更なる実施形態が考案され得、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (13)

  1. 基板に材料を堆積する方法であって、
    露出したシリコンコンタクト表面が開孔底部に設けられた開孔を有するシリコン基板を準備することと、
    開孔底部において露出したシリコンコンタクト表面上に金属シード層を堆積することと、
    金属層を金属シード層上に形成するために、電流を基板の裏側に流すことによって、基板に電気メッキ処理を施すことと
    を含み、
    金属層を金属シード層上に形成するために、電流を基板の裏側に流すことによって、基板に電気メッキ処理を施すことは、基板の裏側をフッ化水素酸溶液に曝露すること、及び金属シード層を銅含有溶液に曝露することを含む、方法。
  2. 基板に材料を堆積する方法であって、
    露出したシリコンコンタクト表面が開孔底部に設けられた開孔を有するシリコン基板を準備することと、
    開孔底部において露出したシリコンコンタクト表面上に金属シード層を堆積することと、
    金属層を金属シード層上に形成するために、電流を基板の裏側に流すことによって、基板に電気メッキ処理を施すことと
    を含み、
    金属層を金属シード層上に形成するために、電流を基板の裏側に流すことによって、基板に電気メッキ処理を施すことは、基板の裏側を水酸化カリウム溶液に曝露すること、及び金属シード層を銅含有溶液に曝露することを含む、方法。
  3. 基板に電気メッキ処理を施すことは、開孔を金属層で充填することを含む、請求項1または2に記載の方法。
  4. 金属シード層の金属は、コバルト及びニッケルから選択される、請求項1または2に記載の方法。
  5. 金属シード層の金属は、無電解処理によって堆積されるニッケルである、請求項に記載の方法。
  6. 金属シード層の金属は、無電解処理又は化学気相堆積処理のどちらか一方によって堆積されるコバルトである、請求項に記載の方法。
  7. 金属層の金属は、銅である、請求項1から6のいずれか1項に記載の方法。
  8. 基板に材料を堆積する方法であって、
    フィールド領域と、
    裏側と、
    フィールド領域から裏側に向かって延びる特徴であって、少なくとも1つの側壁及び底面を有する特徴と
    を有するシリコン基板を準備することと、
    フィールド領域、少なくとも1つの側壁及び底面上に共形性バリア層を堆積することと、
    共形性バリア層の一部を特徴の底面から除去して、シリコン基板を露出することと、
    特徴の底部において露出したシリコン基板上に金属シード層を堆積することと、
    金属シード層上に金属層を形成するために、電流をシリコン基板の裏側に流すことによって、基板に電気メッキ処理を施すことと
    を含み、
    金属シード層上に金属層を形成するために、電流をシリコン基板の裏側に流すことによって、基板に電気メッキ処理を施すことは、フッ化水素酸溶液を含む湿式コンタクト溶液に基板の裏側を曝露すること、及び金属シード層を銅含有溶液に曝露することを含む、方法。
  9. 基板に材料を堆積する方法であって、
    フィールド領域と、
    裏側と、
    フィールド領域から裏側に向かって延びる特徴であって、少なくとも1つの側壁及び底面を有する特徴と
    を有するシリコン基板を準備することと、
    フィールド領域、少なくとも1つの側壁及び底面上に共形性バリア層を堆積することと、
    共形性バリア層の一部を特徴の底面から除去して、シリコン基板を露出することと、
    特徴の底部において露出したシリコン基板上に金属シード層を堆積することと、
    金属シード層上に金属層を形成するために、電流をシリコン基板の裏側に流すことによって、基板に電気メッキ処理を施すことと
    を含み、
    金属層を金属シード層上に形成するために、電流を基板の裏側に流すことによって、基板に電気メッキ処理を施すことは、基板の裏側を水酸化カリウム溶液に曝露すること、及び金属シード層を銅含有溶液に曝露することを含む、方法。
  10. フィールド領域、少なくとも1つの側壁及び底面上に共形性バリア層を堆積することに先立ち、シリコン基板のフィールド領域上に酸化物含有層を形成することを更に含む、請求項8または9に記載の方法。
  11. 湿式コンタクト溶液は、フッ化カリウムを更に含む、請求項に記載の方法。
  12. バリア層は、窒化タンタルバリア層を含む、請求項8または9に記載の方法。
  13. バリア層は、二酸化ケイ素層を更に含み、二酸化ケイ素層は、窒化タンタルバリア層の下に位置する、請求項12に記載の方法。
JP2016519522A 2013-06-17 2014-05-27 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法 Expired - Fee Related JP6367322B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361835972P 2013-06-17 2013-06-17
US61/835,972 2013-06-17
PCT/US2014/039611 WO2014204620A1 (en) 2013-06-17 2014-05-27 Method for copper plating through silicon vias using wet wafer back contact

Publications (2)

Publication Number Publication Date
JP2016526784A JP2016526784A (ja) 2016-09-05
JP6367322B2 true JP6367322B2 (ja) 2018-08-01

Family

ID=52105093

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016519522A Expired - Fee Related JP6367322B2 (ja) 2013-06-17 2014-05-27 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法

Country Status (6)

Country Link
US (1) US10879116B2 (ja)
JP (1) JP6367322B2 (ja)
KR (1) KR102245104B1 (ja)
CN (1) CN105308723B (ja)
SG (2) SG11201509673SA (ja)
WO (1) WO2014204620A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6667215B2 (ja) 2014-07-24 2020-03-18 キヤノン株式会社 X線遮蔽格子、構造体、トールボット干渉計、x線遮蔽格子の製造方法
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9905460B2 (en) * 2015-11-05 2018-02-27 Globalfoundries Inc. Methods of self-forming barrier formation in metal interconnection applications
CN108474129B (zh) * 2016-01-21 2020-12-25 应用材料公司 电镀硅穿孔的工艺和化学作用
WO2017199835A1 (ja) * 2016-05-18 2017-11-23 日本高純度化学株式会社 電解ニッケル(合金)めっき液
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
CN109715852B (zh) * 2016-10-17 2021-09-21 东京毅力科创株式会社 金属布线层形成方法、金属布线层形成装置以及存储介质
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
CN111032880B (zh) * 2017-09-01 2023-06-16 日立化成诊断系统株式会社 低密度脂蛋白中的胆固醇的测定方法、测定用试剂和测定用试剂盒
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
WO2019199614A1 (en) 2018-04-09 2019-10-17 Lam Research Corporation Copper electrofill on non-copper liner layers
US11203816B1 (en) * 2020-10-23 2021-12-21 Applied Materials, Inc. Electroplating seed layer buildup and repair

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4321283A (en) 1979-10-26 1982-03-23 Mobil Tyco Solar Energy Corporation Nickel plating method
JP2000232078A (ja) * 1999-02-10 2000-08-22 Toshiba Corp メッキ方法及びメッキ装置
US8852417B2 (en) * 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
JP4043234B2 (ja) * 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US7182849B2 (en) 2004-02-27 2007-02-27 Taiwan Semiconducotr Manufacturing Co., Ltd. ECP polymer additives and method for reducing overburden and defects
CN1290160C (zh) * 2004-09-24 2006-12-13 清华大学 分离双电极酸性化学镀制备集成电路铜互连线的金属化方法
JP2006222138A (ja) * 2005-02-08 2006-08-24 Matsushita Electric Works Ltd 貫通電極の形成方法
US7659203B2 (en) 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US20070190362A1 (en) 2005-09-08 2007-08-16 Weidman Timothy W Patterned electroless metallization processes for large area electronics
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US7563714B2 (en) * 2006-01-13 2009-07-21 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
JP2008053568A (ja) * 2006-08-25 2008-03-06 Nec Electronics Corp 半導体装置および半導体装置の製造方法
JP5103854B2 (ja) * 2006-10-02 2012-12-19 セイコーエプソン株式会社 半導体装置、半導体装置の製造方法、回路基板および電子機器
KR100883806B1 (ko) * 2007-01-02 2009-02-17 삼성전자주식회사 반도체 장치 및 그 형성방법
JP5498751B2 (ja) * 2009-10-05 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101300587B1 (ko) * 2009-12-09 2013-08-28 한국전자통신연구원 반도체 소자의 제조 방법
JP5996244B2 (ja) 2011-04-19 2016-09-21 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 半導体上の銅のめっき
US9029258B2 (en) 2013-02-05 2015-05-12 Lam Research Corporation Through silicon via metallization

Also Published As

Publication number Publication date
KR102245104B1 (ko) 2021-04-26
CN105308723B (zh) 2019-01-01
CN105308723A (zh) 2016-02-03
SG11201509673SA (en) 2016-01-28
SG10201710532WA (en) 2018-02-27
JP2016526784A (ja) 2016-09-05
WO2014204620A1 (en) 2014-12-24
US20160133515A1 (en) 2016-05-12
US10879116B2 (en) 2020-12-29
KR20160019960A (ko) 2016-02-22

Similar Documents

Publication Publication Date Title
JP6903061B2 (ja) Si貫通電極のメッキのプロセス及び化学作用
JP6367322B2 (ja) 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法
US7514353B2 (en) Contact metallization scheme using a barrier layer over a silicide layer
US7651934B2 (en) Process for electroless copper deposition
TW527666B (en) Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects
US8138084B2 (en) Electroless Cu plating for enhanced self-forming barrier layers
US20120315756A1 (en) Process for electroless copper deposition on a ruthenium seed
US20070099422A1 (en) Process for electroless copper deposition
US6398855B1 (en) Method for depositing copper or a copper alloy
KR20160105808A (ko) 전기화학적 불활성 양이온을 함유하는 구리 전착 배쓰
TWI737880B (zh) 用於高縱橫比模式之銅電沉積溶液及方法
WO2009092706A2 (en) Electroless deposition of barrier layers
JP2012512967A (ja) 非水溶液からの無電解析出
TWI638424B (zh) 利用濕式晶圓背側接觸進行銅電鍍矽穿孔的方法
JP4202016B2 (ja) 電気めっき浴を準備する方法および関連した銅めっきプロセス
WO2006102182A2 (en) Process for electroless copper deposition
EP1022355A2 (en) Deposition of copper on an activated surface of a substrate
Inoue et al. Study of low resistance TSV using electroless plated copper and tungsten-alloy barrier
Dubin 3D THROUGH-SILICON VIA FILLING WITH ELECTROCHEMICAL NANOMATERIALS

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170526

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180605

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180704

R150 Certificate of patent or registration of utility model

Ref document number: 6367322

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees