JP2016208027A - コバルトのエッチバック - Google Patents

コバルトのエッチバック Download PDF

Info

Publication number
JP2016208027A
JP2016208027A JP2016082061A JP2016082061A JP2016208027A JP 2016208027 A JP2016208027 A JP 2016208027A JP 2016082061 A JP2016082061 A JP 2016082061A JP 2016082061 A JP2016082061 A JP 2016082061A JP 2016208027 A JP2016208027 A JP 2016208027A
Authority
JP
Japan
Prior art keywords
substrate
layer
gas
boron
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016082061A
Other languages
English (en)
Other versions
JP6964964B2 (ja
JP2016208027A5 (ja
Inventor
ジアリアン・ヤン
Jia-Ling Yang
バオスオ・ジョウ
Baosuo Zhou
メイファ・シェン
Shen Meihua
トルステン・リル
Lill Thorsten
ジョン・ホアン
Hoang John
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016208027A publication Critical patent/JP2016208027A/ja
Publication of JP2016208027A5 publication Critical patent/JP2016208027A5/ja
Application granted granted Critical
Publication of JP6964964B2 publication Critical patent/JP6964964B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】基板上のコバルトを選択的にエッチングする方法を提供する。【解決手段】ボロン含有ハロゲン化物ガスおよび添加剤に基板を暴露することと、活性化ガスおよびプラズマに基板を暴露することと、を含む。添加剤によって、金属の表面上よりも厚いボロン含有ハロゲン化物材料の層をマスクの表面上に選択的に堆積させることが改善される。添加剤として、H2、CH4、CF4、NF3、Cl2が含まれる。ボロン含有ハロゲン化物ガスとして、BCl3、BBr3、BF3、BI3が含まれる。それらの暴露は、2回以上のサイクルで実施してもよく、このとき、2回以上のサイクルのそれぞれの暴露で、継続期間および/またはバイアス電力を変化させる。【選択図】図1

Description

半導体製造プロセスには、回路を形成するための配線の製造が含まれる。配線は、タンタルおよび/または窒化タンタルなどのライナによってキャップされた銅で形成されてもよく、またはタングステンで形成されてもよい。しかしながら、銅配線は、ボイド形成およびデバイスの故障につながり得るエレクトロマイグレーションが生じることがあり、また、タングステン配線は、比較的高い抵抗率を有し得る。このため、他の金属を用いて形成される配線に関心が持たれている。
本明細書において、基板を処理する方法を提供する。一態様は、チェンバ内で基板を処理する方法に関し、該方法は、(a)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、第1の層は第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスからなる群から選択された添加剤に、基板を暴露するまたは曝すことと、(b)活性化ガスと活性化源に基板を暴露するまたは曝すことと、を含む。(a)の継続時間は、約5秒〜約60秒の間としてよい。
この方法は、基板上にボロン含有ハロゲン化物層を堆積させるためのサイクルの第1セットで、(a)と(b)を繰り返すことを、さらに含んでもよい。一部の実施形態では、本方法は、金属をエッチングするためのサイクルの第2セットで、(a)と(b)を繰り返すことを、さらに含んでもよい。基板は、コンタクトを形成するためにエッチングされてもよい。一部の実施形態では、基板は、金属のブランケット層へのサブトラクティブ・エッチングによってエッチングされる。
サイクルの第2セットにおける(a)の継続時間は、サイクルの第1セットにおける(a)の継続時間よりも短くしてよい。一部の実施形態では、サイクルの第2セットにおける(b)の継続時間は、サイクルの第1セットにおける(b)の継続時間よりも長い。第2セットにおけるサイクル数は、第1セットにおけるサイクル数とは異なり得る。
種々の実施形態において、(b)ではバイアスを印加する。いくつかの実施形態において、サイクルの第1セットでは第1のバイアス電力で、サイクルの第2セットでは第2のバイアス電力で、(b)においてバイアスを印加する。一部の実施形態では、第1のバイアス電力は、約20Vb〜約100Vbの間としてよい。一部の実施形態では、第2のバイアス電力は、約30Vb〜約150Vbの間としてよい。第1のバイアス電力は、第2のバイアス電力よりも大きくしてよい。一部の実施形態では、第1のバイアス電力は、第2のバイアス電力よりも小さい。
添加剤は、H2、CH4、CF4、NF3、Cl2、およびこれらの組み合わせ、のいずれかとしてよい。種々の実施形態において、活性化ガスは、アルゴンを含む。いくつかの実施形態において、活性化ガスは、Ar、H2、CH4、CF4、He、Ne、Xe、NF3、およびこれらの組み合わせ、のいずれかとしてよい。
ボロン含有ハロゲン化物ガスは、BCl3、BBr3、BF3、BI3、のいずれかとしてよい。種々の実施形態において、金属は、コバルト、鉄、マンガン、ニッケル、白金、パラジウム、ルテニウム、およびこれらの組み合わせ、のいずれかとしてよい。
一部の実施形態では、金属の表面は、マスクの表面に対して窪んでいる。種々の実施形態において、本方法は、(a)または(b)を実施する前に、金属に部分的にリセスを形成するために、基板をウェットエッチングすることをさらに含む。
種々の実施形態において、活性化源は、プラズマである。種々の実施形態において、プラズマ電力は、約100W〜約1500Wの間である。一部の実施形態では、基板をパターニングする。チェンバ圧力は、約2mT〜約90mTの間としてよい。種々の実施形態において、本方法は、暴露の合間に、チェンバをパージすることを含む。
一部の実施形態では、基板上のフィーチャの側壁に化合物が再堆積されることは実質的にない。種々の実施形態において、金属の表面のRMS粗さは、約5nm未満である。
一部の実施形態では、(b)において、活性化源に基板を暴露することは、イオンビームエッチングまたは反応性イオンエッチングを含み得る。種々の実施形態において、(a)と(b)は、真空破壊することなく実施される。一部の実施形態では、(a)と(b)は、同じチェンバ内で実施される。一部の実施形態では、(a)と(b)は、同じ装置の異なるモジュールで実施される。
種々の実施形態において、(a)と(b)の少なくとも一方は、自己制御反応を含む。種々の実施形態において、マスクは、非金属を含む。一部の実施形態では、マスクは、上記金属とは組成が異なる他の金属を含む。
他の態様は、基板を処理するための装置に関し、該装置は、(a)チャックをそれぞれ有する1つ以上の処理チェンバと、(b)処理チェンバへの1つ以上のガス入口および関連したフロー制御ハードウェアと、(c)少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、少なくとも1つのプロセッサとメモリは相互に通信接続されており、少なくとも1つのプロセッサは、フロー制御ハードウェアと少なくとも作用的に接続されており、メモリは、フロー制御ハードウェアを少なくとも制御するように少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を記憶しており、その制御は、(i)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、第1の層は第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスのいずれかであり得る添加剤を、1つ以上の処理チェンバのいずれかに流入させることと、(ii)1つ以上の処理チェンバのいずれかに活性化ガスを流入させるとともに、活性化源を点火すること、による制御である。種々の実施形態において、真空破壊することなく、ボロン含有ハロゲン化物ガス、添加剤、および活性化ガスを流入させる。いくつかの実施形態において、本装置は、プラズマ発生器を備える。一部の実施形態では、本装置は、誘導結合プラズマを発生させる。一部の実施形態では、本装置は、容量結合プラズマを発生させる。いくつかの実施形態において、活性化源は、プラズマである。
これらおよび他の態様について、図面を参照して、以下でさらに説明する。
開示される実施形態に従って実施される方法のオペレーションを示すプロセスフロー図である。
開示される実施形態に従って処理されるゲート構造の一例の概略図である。 開示される実施形態に従って処理されるゲート構造の一例の概略図である。 開示される実施形態に従って処理されるゲート構造の一例の概略図である。 開示される実施形態に従って処理されるゲート構造の一例の概略図である。
開示される実施形態によるサブトラクティブ・エッチングの一例の概略図である。 開示される実施形態によるサブトラクティブ・エッチングの一例の概略図である。 開示される実施形態によるサブトラクティブ・エッチングの一例の概略図である。 開示される実施形態によるサブトラクティブ・エッチングの一例の概略図である。
開示される実施形態を実施するのに適したチェンバの一例の概略図である。
開示される実施形態を実施するのに適したツールの一例の概略図である。
実験で使用された基板の画像を示す図である。 実験で使用された基板の画像を示す図である。
開示される実施形態を実験で実施した場合のエッチング速度を示すグラフである。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示される実施形態は、それら特定の詳細の一部または全てを省いても実施されてもよい。また、開示される実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示される実施形態は、具体的な実施形態に関連して説明されるものの、それは、開示される実施形態を限定するものではないことは理解されるであろう。
半導体製造において、配線工程(BEOL:Back−End−Of−Line)の主な役割は、個別素子を接続する配線を形成することで、機能回路を構築することである。デバイスフィーチャのサイズひいては配線のシュリンクが絶えず進んでいることに伴って、抵抗−容量(RC)遅延の増大を少なくとも一因とする配線性能の低下を防ぐための課題は増大しつつある。
一般的には、配線は、デュアルダマシン加工技術を用いて銅で形成され、そして銅配線は、タンタルおよび/または窒化タンタルライナなどのライナでキャップされる。銅配線構造の主要な問題の1つは、ボイド形成およびデバイスの故障につながり得るエレクトロマイグレーションが非常に発生しやすいことである。いくつかのプロセスは、タングステンによるHigh−k(高誘電率)メタルゲート充填を伴い、さらに、タングステンは、ソース/ドレインコンタクトへの金属コンタクトを形成するために用いられてもよい。しかしながら、タングステンは、コバルトのような金属と比較して、特に小さいフィーチャにおいて、高いシート抵抗を有する。小さいフィーチャは、約10nm未満のテクノロジノードを有し得る。この問題ならびに他の問題が、配線として他の金属を用いることへの関心につながっている。
本明細書において、配線材料としてコバルト(Co)を用いる方法を提供する。コバルト充填によって、フィーチャ内のボイドの形成を抑えることができ得る。特に、エレクトロマイグレーションの問題を軽減することができる。
銅をCoで置き換えることによって、例えばCoのエッチングなど、それに独自の処理の問題が発生する。現在のところ、Coは、ウェットプロセスを用いてエッチバックすることができる。ところが、ウェットエッチング速度は、フィーチャサイズが変わると、変化し得る。さらに、ウェットプロセスが原因で、基板の表面がかなり粗くなることがあり、例えば、ドライプロセスでエッチングされた表面よりも粗くなることがある。異方性プラズマエッチングを用いてCoをエッチバックすることは、エッチング生成物がほとんど不揮発性であるか、または不揮発性であることが多いため、非常に難しいことが判明している。不揮発性エッチング生成物によって、結果的に、それらのエッチング生成物が再堆積することになるか、またはその基板の他の露出したコンポーネントに欠陥が生じることになり得る。これらの再堆積欠陥は金属を含み、除去することは、不可能ではないにしても難しい。このため、この金属のプラズマエッチングは、通常、物理スパッタリングによって実現されることが多いが、しかし残念ながら、結果的に、このプロセスを製造に用いることができないほどの低いエッチング選択性が得られる。
本開示は、Coの選択的プラズマエッチングを提供する。図1は、開示される実施形態に従ってオペレーションを実施するためのプロセスフロー図を提示している。オペレーション102において、基板またはウェハを準備する。基板は、例えば、200mmウェハ、300mmウェハ、または450mmウェハであるシリコンウェハとすることができ、その上に堆積された誘電材料、導電材料、または半導体材料などの1つ以上の材料層を有するウェハが含まれる。本明細書で提示される例では、基板は、Co層を有し得る。
種々の実施形態において、基板をパターニングする。いくつかの実施形態において、パターニングされた基板は、基板全体にわたって、多様なトポグラフィを有し得る。一部の実施形態では、基板上に製造途中のゲートが存在し得る。例えば、基板は、Co層を有することができ、Co層の上からハードマスクが堆積されている。一部の実施形態では、ハードマスクは、パターニング済みであり得る。また、Coに部分的にリセスを形成するようにパターンを形成するために、Coを部分的にウェットエッチングすることによって、基板を準備することもでき得る。
パターニングされた基板は、ビアまたはコンタクトホールなどの「フィーチャ」を有することがあり、それらは、幅狭および/またはリエントラント型の開口部、フィーチャ内の狭窄部、高アスペクト比、のうちの1つ以上を特徴とすることがある。フィーチャは、上記の層のうちの1つ以上に形成され得る。フィーチャの一例は、半導体基板内または基板上の層内の孔もしくはビアである。他の例は、基板内または層内のトレンチである。種々の実施形態において、フィーチャは、バリア層または接着層などの下層を有し得る。下層の非限定的な例として、誘電体層および導電層が含まれ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層が含まれる。
種々の実施形態において、開示される実施形態を実施することで製造される基板のタイプは、開示される実施形態を実施する前の基板上の様々なフィーチャのアスペクト比に依存し得る。いくつかの実施形態において、オペレーション102で準備される基板上のフィーチャは、少なくとも約2:1の、少なくとも約4:1の、少なくとも約6:1の、少なくとも約10:1の、またはさらに高いアスペクト比を有し得る。さらに、フィーチャは、開口付近の寸法として、約10nm〜500nmの間の、例えば約25nm〜約300nmの間の、例えば開口径またはライン幅を有し得る。開示される方法は、約150nm未満の開口部を有するフィーチャを備えた基板上で実施されてもよい。ビア、トレンチ、または他のリセス型フィーチャは、未充填フィーチャ、またはフィーチャと呼ばれてもよい。種々の実施形態により、フィーチャプロファイルは、徐々に窄まるもの、および/またはフィーチャ開口にオーバハングを含むものであり得る。リエントラント型プロファイルは、フィーチャの底、閉端、または内部からフィーチャ開口に向かって窄まるプロファイルである。リエントラント型プロファイルは、パターニングの際の非対称なエッチング反応速度、および/または拡散バリアの堆積のような前の成膜における非コンフォーマル膜のステップカバレッジに起因するオーバハングによって、形成されることがある。種々の例において、フィーチャは、フィーチャ頂部の開口において、フィーチャ底部の幅よりも小さい幅を有することがある。本明細書で記載されるフィーチャは、図1に関連して本明細書で記載されるような、開示の実施形態によってエッチングされる基板上にあり得るものである。
オペレーション104において、ボロン含有ハロゲン化物ガスに基板を暴露することで、基板上にボロン含有ハロゲン化物層を選択的に堆積させ、これにより、ハードマスクまたは他のタイプのマスクなどのいくつかの金属または非金属表面上に、他の金属表面上におけるよりも、多くの材料を堆積させる。ボロン含有ハロゲン化物層は、本明細書では、ボロン含有ハロゲン化物材料またはポリマと呼ばれることもある。本明細書で使用される場合の「ハロゲン化物」という用語は、「ハロゲン含有」と呼ばれることもある。種々の実施形態において、ボロン含有ハロゲン化物層は、ボロン含有ハロゲン含有層である。堆積されるボロン含有ハロゲン化物層がより薄層であり得る金属として、コバルト(Co)、鉄(Fe)、マンガン(Mn)、ニッケル(Ni)、白金(Pt)、パラジウム(Pd)、ルテニウム(Ru)、などの不揮発性金属を含み得る。
オペレーション104では、基板を、さらに添加剤にも暴露されてもよい。添加剤は、マスク上のボロン含有ハロゲン化物層の材料のビルドアップを助けることもでき、または本明細書で記載されるような金属エッチングを増進させることもでき得る。一部の実施形態では、添加剤は、Coのエッチング速度を高めることなどにより、Co金属へのリセス形成を改善することができ得る。添加剤の例には、H2、CH4、CF4、NF3、Cl2、が含まれる。ボロン含有ハロゲン化物ガス流量に対する添加剤流量の比は、用いられるガスケミストリに応じて、約5%〜約50%の間としてよい。
特定の理論にとらわれることなく、ボロンは、本明細書で記載されるようなゲートマスクに対する金属のエッチング選択性を実現するために有用であり得ると考えられる。例えば、ボロン含有ハロゲン化物を用いることで、ゲートマスクに対するCoのエッチング選択性を向上させることができ得る。ボロン含有ハロゲン化物ガスは、ボロン含有ハロゲン化物材料を堆積させ、これは、剥離によって、またはウェットエッチングプロセスを用いて、より容易に除去される。
種々の実施形態において、その材料は、Coなどの金属材の上よりも、マスク材の上に厚く、選択的に堆積する。例えば、マスクは、窒素、酸素、炭素、およびチタンの原子を含んでもよい。一部の実施形態では、マスクは、主に炭素材料で構成されたアッシャブル・ハードマスクである。一部の実施形態では、マスクは、TiN層である。種々の実施形態において、このオペレーションにおける堆積は、非コンフォーマルである。いくつかの実施形態において、選択的堆積は、フィーチャのアスペクト比に依存する。例えば、開示される種々の実施形態は、約1:1〜約10:1の間のアスペクト比を有する基板上にボロン含有ハロゲン化物材料を選択的に堆積させるのに適したものであり得る。
オペレーション104は、約5秒〜約60秒の間の継続時間で実施される。種々の実施形態において、オペレーション104の継続時間は、ボロン含有ハロゲン化物層を選択的に堆積させるように制御することができ得る。一部の実施形態では、ボロン含有ハロゲン化物ガスへの暴露の継続時間が長すぎると、結果的に、非選択的な堆積となり得る。継続時間は、Coなどの金属がエッチングされる箇所の開口部のアスペクト比および/またはサイズに依存し得る。例えば、いくらかのアスペクト比を有するいくつかの基板では、継続時間が長すぎると、その結果、マスク表面上に堆積される膜の厚さは、エッチングされるべき金属表面上に堆積される膜の厚さと略等しくなり得る。
一部の実施形態では、ハードマスク材上のボロン含有ハロゲン化物層は、約1nm〜約20nmの間の厚さに堆積される。一部の実施形態では、コバルト上のボロン含有ハロゲン化物層は、約2nm〜約10nmの間の厚さに堆積される。
オペレーション106において、活性化ガス、およびプラズマのような活性化源に、基板を暴露する。活性化ガスとして、アルゴン(Ar)、水素(H2)、メタン(CH4)、四フッ化炭素(CF4)、三フッ化窒素(NF3)、ヘリウム(He)、ネオン(Ne)、またはキセノン(Xe)、などの1種以上の反応性または非反応性ガスを含んでよい。以下でさらに説明するように、プラズマによって活性化される活性化ガスの組み合わせは、基板表面上のボロン含有ハロゲン化物層と反応し得ることで、エッチング生成物を形成する。1種以上の活性化ガスを用いることで、BXx化学吸着層の形成における均一性および選択性を高めることができ得る(ここで、Xは、塩素、臭素、またはヨウ素などのハロゲン化物としてよく、xは、化学吸着層の化学量論組成を示す整数または他の数としてよい)。一部の実施形態では、オペレーション104で流入させる添加剤を、オペレーション106において流入させることができ得る。添加剤は、堆積されたボロン含有ハロゲン化物層の除去を改善すること、金属エッチング速度を高めること、および/または金属の表面を平滑化すること、ができ得る。例えば、Co層を平滑化するために、または本明細書で記載されるようにCo層をさらにエッチングするために、オペレーション106において添加剤を流入させることができ得る。ボロン含有ハロゲン化物ガス流量に対する添加剤流量の比は、用いられるガスケミストリに応じて、約5%〜約50%の間としてよい。
プラズマは、その場で生成される(in−situ)プラズマまたはリモートプラズマとしてよい。プラズマは、約100W〜約1500Wの電力を用いて発生させることができ得る。一部の実施形態では、オペレーション106において、さらに、低バイアスを印加する。例えば、バイアスは、約50Vbに設定されてもよいが、ただし、様々なエッチング速度およびエッチングの程度を実現するために、変調されてもよい。いくつかの実施形態において、プラズマ以外の代替の活性化源を用いることができ得る。いくつかの実施形態において、プラズマの代わりに、反応性イオンエッチングまたはイオンビームエッチングを用いてよい。プラズマ、反応性イオンエッチング、イオンビームエッチングのような活性化源は、活性化ガスを電離させることで、基板と反応するための活性化された活性化ガスを発生させることができる。オペレーション106は、約10〜約60秒の間の継続時間で実施されてもよい。
オペレーション108において、任意選択的に、オペレーション104および106をサイクルで繰り返す。例えば、基板上にボロン含有ハロゲン化物層の正味の堆積を提供するように、オペレーション104および106を繰り返すことができ得る。一部の実施形態では、基板上でボロン含有ハロゲン化物層および金属の正味のエッチングを提供するように、オペレーション104および106を繰り返す。マスク表面に堆積されるボロン含有ハロゲン化物層は、金属表面上におけるよりも厚いので、金属上のボロン含有ハロゲン化物材料は、マスク表面に堆積されたボロン含有ハロゲン化物材料よりも前に、完全にエッチングされる。従って、エッチングが複数サイクルで継続するときに、露出した金属層がエッチングされる間に、ハードマスクは、ボロン含有ハロゲン化物層によって劣化から保護される。
開示されるいくつかの実施形態は、真空破壊することなく実施され得る原子層堆積(ALD)と原子層エッチング(ALE)のプロセスの統合を伴い得る。例えば、図1のいくつかの実施形態において、オペレーション104における堆積は、コンフォーマルである。オペレーション104は、自己制御反応で実施することができ得る。例えば、いくつかの実施形態において、オペレーション104では、ALDを用いて堆積させる。ALDは、逐次自己制御反応を用いて材料の薄層を堆積させる手法である。ALDは、任意の適切な技術を用いて実施することができ得る。種々の実施形態において、ALDは、プラズマを用いて実施されてもよく、または熱的に実施されてもよい。オペレーション104は、サイクルで実施されてもよい。
「ALDサイクル」のコンセプトは、本明細書に記載の種々の実施形態の解説に関わりがある。一般に、ALDサイクルは、表面堆積反応を1回実施するために用いられる工程の最小セットである。1サイクルの結果は、基板表面上の少なくとも部分的なシリコン含有膜層の生成である。典型的には、ALDサイクルは、少なくとも1つの反応物質を供給して基板表面に吸着させ、その後、吸着した反応物質を1種以上の反応物質と反応させることで、膜の部分的な層を形成するための工程を含む。サイクルは、反応物質または副生成物のいずれかのスイープ、および/または堆積されたまま(アズデポ)の部分的な膜の処理など、いくつかの補助的工程を含んでよい。一般に、1サイクルは、固有のプロセスシーケンスの1つのインスタンスを含む。一例として、ALDサイクルには以下の工程を含んでよい。(i)チェンバ内の前駆体または第1の反応物質の供給/吸着、(ii)チェンバからの前駆体のパージ、(iii)第2の反応物質およびオプションのプラズマの供給、(iv)チェンバからのプラズマおよび副生成物のパージ。
いくつかの実施形態において、オペレーション106で、基板の自己制御エッチングを開始させる。例えば、オペレーション104で堆積されたままの基板表面から、活性化された活性化ガス、プラズマ、および活性化されたハロゲン化物の組み合わせによって、原子層エッチングを実施されてもよい。「ALEサイクル」のコンセプトは、本明細書に記載の種々の実施形態の解説に関わりがある。一般に、ALEサイクルは、1原子層をエッチングするなど、エッチングプロセスを1回実施するために用いられる工程の最小セットである。1サイクルの結果は、基板表面上の膜層の少なくとも一部のエッチングである。典型的には、ALEサイクルは、改質層を形成するための改質工程と、その後、この改質層のみを除去またはエッチングするための除去工程を含む。サイクルは、反応物質または副生成物のいずれかのスイープなど、いくつかの補助的工程を含んでもよい。一般に、1サイクルは、固有のプロセスシーケンスの1つのインスタンスを含む。一例として、ALEサイクルには以下の工程を含んでもよい。(i)チェンバへの反応ガスの供給、(ii)チェンバからの反応ガスのパージ、(iii)除去用ガスおよびオプションのプラズマの供給、(iv)チェンバのパージ。一部の実施形態では、エッチングは、非コンフォーマルに実施することができ得る。
一例のプロセスについて、ゲート構造200内のCo配線201aを示す図2A〜2Dを参照して、以下で説明する。図2Aを参照して、本実施形態では、Co充填と(例えば、化学機械研磨(CMP)による)平坦化の後に、構造200は、ゲート材203の2つの領域を覆うハードマスク205の2つの領域と、基板210上でゲート材203の2つの領域の間に配置されたCo配線201aと、で構成されている。ハードマスク205の材料は、いくつかの実施形態では、非金属としてもよい。ハードマスク205の材料は、いくつかの実施形態では、金属を含むことができ得る。ハードマスク材料は、Co配線201aのそれとは異なる金属としてもよい。一部の実施形態では、ハードマスク205の材料は、窒化チタンまたは窒化タンタルとしてもよい。また、ハードマスク205とゲート材203は、一般に、誘電体スペーサで隔離することもでき得るが、それは、本開示によるプロセスの簡単な例示を損なわないように、図示はしていない。図2Aに示すように、Co配線201aは、ウェットプロセスによって部分的にリセスバックされることで、Co配線201aの頂部は、より多くエッチングされて、ハードマスク205とゲート材203との間の界面の上よりも低くなっている。
図2Bでは、Co配線201aをさらに窪ませる目的で、ボロン含有ハロゲン化物ケミストリを用いた堆積、および反応性または非反応性ガスを用いた活性化、のサイクル工程によるプラズマエッチングが実施される。図2Bを参照して、BCl3の堆積を用いて、基板200上にBClx層207を形成することができる。図示では、207aは、ハードマスク205の上に堆積されたBClx層207の部分を指し、207bは、Co配線201aの上に堆積されたBClx層207の部分を指す。
注目されるのは、堆積工程でハードマスク205の上に形成されたBClx層の部分207aは、Co配線201aの上に形成されたBClx層の部分207bよりも厚くなっていることである。BClx層207aの組成は、Co配線201aとの界面におけるBClx層207bの組成とは異なるものであってもよく、このような組成の違いは、以下で説明するような選択性のための一助となり得る。
いずれかの特定のオペレーション理論によって本開示を制限することなく、組成および厚さの違いは、化学的要因と機械的要因の組み合わせによるものと考えられる。H2、CH4、CF4、NF3、Cl2などの添加剤を用いたBCl3の堆積ケミストリは、ハードマスク205の材料中のN、O、またはCとのボロンの反応/結合を少なくとも一因として、ハードマスク205上に優先的に堆積する。堆積ケミストリの塩素は、Co配線201aの表面に反応/結合し、そして後の暴露において、ボロンが塩素に結合することもできるが、その反応は、概して、Co配線201aの表面では、ハードマスク205の表面におけるよりも低速で進み、さらにCo配線201aの表面のリセスアスペクトによって、膜成長はさらに遅くなり、その結果、堆積されるBClx層207の厚さに差が生じる。これにより、ハードマスク205の上に形成されたBClx層の部分207aは、Co配線201aの上に形成されたBClx層の部分207bよりも厚くなる。この堆積厚さの違いは、堆積の初期のサイクルで、特に界面において、最も顕著である。堆積、活性化、および/またはエッチングの戦略は、様々なオペレーションの反復サイクルに関連して、以下および図1に関して上記で解説されているように、適宜、調整することができる。他の実施形態では、このプロセスで用いるBCl3を、BBr3またはBI3またはBF3のような同等の堆積機能および活性化機能を提供することができる他のハロゲン化物ケミストリで置き換えることができる。種々の実施形態において、BClx層207の側壁は、エッチング後に基板上に残っていること、すなわち、Co配線201aがエッチングされた後に、BClx層207の一部が、ハードマスク205の側壁上と、さらにゲート材203の側壁上に部分的に残っていることに留意すべきである。
BClx層207は、保護バリアと、エッチング生成物を形成する反応種の供給源と、その両方として機能する。図2Cを参照して、活性化では、Co配線201a上のBClx層の部分207bが、イオンエネルギーで活性化されて、Coと反応することで、エッチング生成物を形成する。アルゴンのような活性化ガスを、H2、CH4、CF4、Cl2、またはNF3のような他のガスと任意選択的に組み合わせることで、BClx化学吸着層217の形成における均一性および選択性が高まる。代替的な活性化ガスとして、He、Ne、およびXeのような他の不活性ガスを含むことができる。さらに、開示される実施形態では、エッチング生成物の再堆積も軽減される(例えば、活性化で用いられることがあるスパッタ種の堆積を無くすことが可能である)。注目されるのは、より厚い層がハードマスク205上に堆積されていたことで、それらの表面上にいくらかのBClx化学吸着層217が残っているのに対し、図2Cに示すように、Co配線201aの表面上のBClx層の部分207bの全体が完全にエッチングされて、これにより、Co配線201aの表面を露出させているということである。
ハードマスク205を損傷させることなく、Co配線201aをエッチングするように、堆積(図2B)と活性化(図2C)の工程は、図2Dに示す所望のCoエッチング深さを達成するまで、いくつかのサイクルで繰り返される。例えば、一般的に、この反復サイクルプロセスは、以下のサイクルを伴い得る。
a.ハードマスク上の堆積ビルドアップに、より重点をおくための、最初の数サイクルとして、(堆積時間1+活性化時間2)*Xサイクル、これに続いて、
b.(堆積時間3+活性化時間4)*Yサイクル、マスク上の堆積ビルドアップに、いくらかの差異が得られたら、後続の反復サイクルは、Co除去に、より重点をおくことができる。
上記の式において、Xは、約1〜約10サイクルの間、または約1〜約6サイクルの間としてもよく、Yは、約20〜約30サイクルの間としてもよい。正味の堆積プロセスを実施することに関連した堆積時間1は、約5〜約60秒の間としてもよい。正味の堆積プロセスを実施することに関連した活性化時間2は、約10〜約60秒の間としてもよい。正味のエッチングプロセスを実施することに関連した堆積時間3は、約5〜約60秒の間としてもよく、正味のエッチングプロセスを実施することに関連した活性化時間4は、約10〜約60秒の間としてもよい。
堆積のときのバイアス電圧は、一般的にゼロであるが、BClx層の形成を妨げないのであれば、低バイアスを用いることもできる。活性化工程における適切なバイアスは、約50Vbであるが、所望の結果を得るために変更することができる。
また、活性化エネルギーおよびバイアス電圧は、サイクルごとに変化させることもでき得る。例えば、開示される実施形態に従って実施される反復サイクルプロセスは、以下のサイクルを含み得る。
a.ハードマスク上の堆積ビルドアップに、より重点をおくための、最初の数サイクルとして、(堆積+バイアス電圧1での活性化)*Xサイクル、これに続いて、
b.(堆積+バイアス電圧2での活性化)*Yサイクル、マスク上の堆積ビルドアップに、いくらかの差異が得られたら、後続の反復サイクルは、Co除去に、より重点をおくことができる。
上記の式において、Xは、約1〜約10サイクルの間、または約1〜約6サイクルの間としてもよく、Yは、約20〜約30サイクルの間としてもよい。バイアス電圧1は、約20Vb〜約100Vbの間としてもよく、バイアス電圧2は、約30Vb〜約150Vbの間としてもよい。
堆積と活性化のオペレーションの両方で、圧力は、約2mT〜約90mTとしてもよい。プラズマ源電力は、約100W〜1500Wとすることができる。
ハードマスクへの損傷を抑えるとともに、所望の選択性を実現するために、上述のように、活性化工程の終わりにCoエッチングが完了したときに、いくらかの残留BClxをハードマスク上に残してもよい。このようにして、ハードマスクを損傷させることなく、Coエッチングを進めることができる。このような結果は、ハードマスク上のBClx層の部分のエッチングが、Co配線上のBClx層の部分よりも高速ではないように、例えば、より低速であるように、活性化/エッチングのケミストリおよび条件を調整することにより、さらに得やすくなり得る。
この技術によって、Coエッチング選択性と、結果として得られるCo表面の粗さと、その両方が顕著に改善される。例えば、開示される実施形態では、表面のRMS粗さを5nm未満に低減することができ、ウェットエッチングまたはスパッタリングされた表面と比較して、平滑度に少なくとも50%の改善を得ることができ得る。特定の理論にとらわれることなく、ウェットエッチング後の金属の粗い表面は、開示される実施形態では、ウェットエッチング後に形成されていた金属表面の突部および丘状部がエッチングされることにより平滑化されると考えられる。
いくつかの実施形態において、反復的な堆積と活性化のオペレーションは、同じチェンバで、または同じツールの異なるチェンバモジュールで実施することを含めて、真空破壊することなく実施することができ得る。種々の実施形態において、開示される実施形態は、イオンビームエッチング、および反応性イオンエッチングのような他のプロセスと統合することができ得る。
開示される実施形態は、Co配線のエッチングに限定されるものではなく、ブランケット(サブトラクティブ)Coエッチングに適用することもできる。図3A〜3Dは、サブトラクティブ・エッチングの場合の一例のエッチング手順を提示している。サブトラクティブ・エッチングは、ブランケットCo層上で実施することができ得る。図3Aは、エッチング停止層310の上にブランケットCo層303を備えた例示的な基板300の概略図を示している。ブランケットCo層303の上からハードマスク305を堆積させて、パターニングする。ハードマスク305は、非金属または金属を含むことができ得る。種々の実施形態において、ハードマスク305は、ブランケットCo層303のそれとは異なる金属である。
図3Bでは、BCl3などのボロン含有ハロゲン化物ガス、および図1のオペレーション104に関して上述したような添加剤に、基板300を暴露する。ハードマスク305上に堆積されるBClx層の部分307aが、ブランケットCo層303上に堆積されるBClx層の部分307bよりも厚くなるように、BClx層307を選択的に堆積させる。
図3Cは、ハードマスク305の側壁間の中央にリセス部を有する、部分的にエッチングされたCo層313と、図3Bの基板300を活性化ガスに暴露して、部分的にエッチングされたBClx層317を形成するためにBClx層307をエッチングした後の、部分的にエッチングされたBClx層317を示している。注目されるのは、図3Bにおいてハードマスク305上のBClx層の部分307aの量が、ブランケットCo層303上に堆積されたBClx層の部分307bの量よりも厚いことによって、いくらかのエッチング速度でBClx層307をエッチングする間に、ハードマスク305を劣化および損傷から保護している部分的にエッチングされたBClx層317のエッチングを継続しつつ、ブランケットCo層313内までエッチングを継続することができ得るということである。種々の実施形態において、図3Cの基板300は、基板300をエッチングするために、アルゴンなどの活性化ガスおよびプラズマに暴露されるということに留意すべきである。
図1に関して上述したように、サイクルで、ボロン含有ハロゲン化物材料および添加剤を堆積させ、そして活性化ガスおよびプラズマに基板を暴露するために、オペレーション104および106を繰り返すことができ得る。一部の実施形態では、ボロン含有ハロゲン化物材料の堆積のほうが多くなるように、堆積の継続時間を、活性化ガス暴露の継続時間よりも長くすることができ得る。一部の実施形態では、基板のエッチングのほうを多くできるように、活性化ガス暴露の継続時間を、堆積の継続時間よりも長くすることができ得る。
図3Dは、オペレーション104および106の十分なサイクルを実施した後の、完全にサブトラクティブ・エッチングされたCo 313を示している。
開示される実施形態の具体的な応用例について本明細書で記載しているが、開示される実施形態を用いて、任意の不揮発性金属をエッチングするための他の応用を実現してもよいことは理解されるであろう。
[装置]
いくつかの実施形態において、原子層エッチング(ALE)オペレーションおよび原子層堆積(ALD)オペレーションを含む反復的な堆積および活性化のプロセスに適し得る、誘導結合プラズマ(ICP)反応器について、以下で説明する。また、そのようなICP反応器は、「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING(マルチプルパターニングのためのAHMギャップ充填における像反転)」と題する、2013年12月10日に出願された米国特許出願公開第2014/0170853号にも記載されており、この文献は、その全体があらゆる目的のために参照により本明細書に組み込まれる。本明細書ではICP反応器について記載しているものの、一部の実施形態では、容量結合プラズマ反応器を使用することもでき得ることは、理解されなければならない。
図4は、本明細書に記載のいくつかの実施形態を実施するのに適した誘導結合プラズマ統合エッチング/堆積装置400の断面図を概略的に示しており、その一例は、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corp.)製のKiyo(登録商標)反応器である。誘導結合プラズマ装置400は、チェンバ壁401と窓411によって構造的に画成された全体的な処理チェンバ424を備える。チェンバ壁401は、ステンレス鋼またはアルミニウムで製造することができ得る。窓411は、石英または他の誘電材料で製造することができ得る。オプションの内部プラズマグリッド450によって、全体的な処理チェンバを、上部サブチェンバ402と下部サブチェンバ403とに分割している。多くの実施形態では、プラズマグリッド450を取り除くことができ、これにより、サブチェンバ402および403で構成されたチェンバ空間を利用する。下部サブチェンバ403内の内部底面の近くに、チャック417が配置されている。チャック417は、エッチングおよび堆積プロセスが実施される対象の半導体ウェハ419を受けて、保持するように構成されている。チャック417は、ウェハ419がある場合にこれを支持するための静電チャックとすることができる。いくつかの実施形態において、エッジリング(図示せず)がチャック417を取り囲んでおり、それは、チャック417上にウェハ419がある場合にそのウェハ表面と略同一平面にある上面を有する。チャック417は、さらに、ウェハ419のチャッキングおよびデチャッキングのための静電電極を有する。この目的のために、フィルタおよびDCクランプ電源(図示せず)を設けることができ得る。また、チャック417からウェハ419を持ち上げるための他の制御システムを設けることもできる。チャック417は、RF電源423を用いて帯電させることが可能である。RF電源423は、接続427を介して整合回路421に接続されている。整合回路421は、接続425を介してチャック417に接続されている。このようにして、RF電源423は、チャック417に接続されている。種々の実施形態において、静電チャックのバイアス電力は、約50Vbに設定されてもよく、または開示される実施形態に従って実施されるプロセスに応じて、異なるバイアス電力に設定されてもよい。例えば、バイアス電力は、約20Vb〜約100Vbの間、または約30Vb〜約150Vbの間としてもよい。
プラズマを発生させるための要素が、コイル433を含めて、窓411の上方に配置されている。一部の実施形態では、コイルは、開示の実施形態において使用されない。コイル433は、導電性材料で製造されており、少なくとも1ターンの完全なターンを含んでいる。図4に示すコイル433の例は、3ターンを含んでいる。コイル433の断面に記号を付して示しており、「×」を付したコイルは、回転して紙面に入るように延びており、「・」を付したコイルは、回転して紙面から出るように延びている。プラズマを発生させるための要素には、コイル433にRF電力を供給するように構成されたRF電源441がさらに含まれる。一般に、RF電源441は、接続445を介して整合回路439に接続されている。整合回路439は、接続443を介してコイル433に接続されている。このようにして、RF電源441は、コイル433に接続されている。オプションのファラデーシールド449aが、コイル433と窓411との間に配置される。ファラデーシールド449aは、コイル433に対して離間した関係に維持することができ得る。一部の実施形態では、ファラデーシールド449aは、窓411の直ぐ上に配置される。一部の実施形態では、ファラデーシールド449bは、窓411とチャック417との間にある。一部の実施形態では、ファラデーシールド449bは、コイル433に対して離間した関係に維持されない。例えば、ファラデーシールド449bは、隙間なく窓411の直ぐ下であってもよい。コイル433、ファラデーシールド449a、および窓411は、それぞれ、相互に略平行となるように構成されている。金属またはその他の種が処理チェンバ424の窓411に付着することを、ファラデーシールド449aによって防ぐことができ得る。
上部サブチェンバ402に配置された1つ以上のメインガス流入口460を介して、さらに/または1つ以上のサイドガス流入口470を介して、処理ガス(例えば、ボロン含有ハロゲン化物ガス、BCl3、Cl2、Ar、CH4、CF4、NF3など)を処理チェンバ内に流入させることができ得る。同様に、明示されていないものの、容量結合プラズマ処理チェンバに処理ガスを供給するために、類似のガス流入口を用いることができ得る。例えば、単段または2段の機械的乾式ポンプ、および/またはターボ分子ポンプ440である真空ポンプを用いて、処理チェンバ424から処理ガスを取り出し、処理チェンバ424内の圧力を維持することができ得る。例えば、ALDのパージオペレーション中に、下部サブチェンバ403からの排気に真空ポンプを用いることができ得る。真空ポンプによって与えられる真空環境の適用を選択的に制御するために、弁制御管を用いて、真空ポンプを処理チェンバ424に流体接続することができ得る。これは、スロットル弁(図示せず)または振り子弁(図示せず)のような閉ループ流量制御装置を採用することにより、操作的プラズマ処理中に実施することができ得る。同様に、真空ポンプ、および容量結合プラズマ処理チェンバへの弁制御による流体接続を採用することもでき得る。
装置400の作動中には、ガス流入口460および/または470を介して、ボロン含有ハロゲン化物ガス、添加剤、または活性化ガスなどの1種以上の処理ガスを供給することができ得る。いくつかの実施形態において、処理ガスは、メインガス流入口460のみを介して、またはサイドガス流入口470のみを介して供給することができ得る。いくつかの例では、図示のガス流入口は、より複雑なガス流入口である例えば1つ以上のシャワーヘッドで置き換えることができ得る。ファラデーシールド449aおよび/またはオプションのグリッド450は、処理チェンバ424への処理ガスの送出を可能にする内部チャネルおよび孔を有することができ得る。ファラデーシールド449aおよびオプションのグリッド450のいずれかまたは両方は、処理ガスを送出するためのシャワーヘッドとして機能することができ得る。一部の実施形態では、処理チェンバ424の上流に、液体気化供給システムを配置してもよく、これにより、液状の反応物質または前駆体を気化させてから、その気化された反応物質または前駆体を、ガス流入口460および/または470を介して処理チェンバ424内に導入する。
高周波電力がRF電源441からコイル433に供給され、これにより、RF電流がコイル433に流れる。コイル433に流れるRF電流によって、コイル433の周りに電磁場が発生する。この電磁場によって、上部サブチェンバ402内に誘導電流が発生する。発生させた種々のイオンおよびラジカルとウェハ419との物理的および化学的相互作用によって、ウェハ419のフィーチャがエッチングされるとともに、ウェハ419上に層が選択的に堆積される。
上部サブチェンバ402と下部サブチェンバ403の両方が存在するようにプラズマグリッド450を使用している場合、誘導電流が、上部サブチェンバ402内にあるガスに作用することで、上部サブチェンバ402内に電子−イオンプラズマが発生する。オプションの内部プラズマグリッド450によって、下部サブチェンバ403内の高温電子の量が制限される。いくつかの実施形態において、装置400は、下部サブチェンバ403内にあるプラズマがイオン−イオンプラズマとなるように、設計され、操作される。
上部の電子−イオンプラズマと、下部のイオン−イオンプラズマは、どちらも、正イオンと負イオンを含み得るが、イオン−イオンプラズマのほうが、負イオン:正イオン比が大きくなる。揮発性のエッチング副生成物および/または堆積副生成物は、ポート422を介して下部サブチェンバ403から除去することができ得る。本明細書で開示されるチャック417は、約10℃〜約250℃の範囲の高温で作動することができ得る。この温度は、プロセス処理および具体的なレシピに依存する。
装置400は、クリーンルームまたは製造施設に設置されると、様々な設備(図示せず)に接続され得る。それらの設備には、処理ガス、真空、温度制御、環境粒子制御を提供する配管設備が含まれる。装置400がターゲット製造施設に設置されると、このような設備が接続される。さらに、装置400を搬送室に接続してもよく、これによって、ロボット技術により、通常の自動操作を用いて、半導体ウェハを装置400に出し入れする搬送が可能となる。
いくつかの実施形態において、システムコントローラ430(1つ以上の物理コントローラまたは論理コントローラを含むことができ得る)により、処理チェンバ424の動作の一部またはすべてを制御する。システムコントローラ430は、1つ以上のメモリデバイスと、1つ以上のプロセッサと、を有し得る。いくつかの実施形態において、装置400は、開示の実施形態を実施する際の流量および継続時間を制御するための切替システムを備える。いくつかの実施形態において、装置400は、最大で約500msまでの切替時間、または最大で約750msまでの切替時間、を有し得る。切替時間は、フローケミストリ、選択されるレシピ、反応器アーキテクチャ、および他の因子に依存し得る。
いくつかの実施形態において、システムコントローラ430は、上述の例の一部であり得るシステムの一部であり得る。そのようなシステムは、処理ツールまたはいくつかのツール、チェンバまたはいくつかのチェンバ、処理用プラットフォームまたはいくつかのプラットフォーム、および/または特定の処理コンポーネント(ウェハペデスタル、ガスフローシステムなど)、などの半導体処理装置を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、処理後のそれらのオペレーションを制御するための電子装置と統合することができ得る。それらの電子装置は、システムコントローラ430に統合することができ、これにより、そのシステムまたはいくつかのシステムの各種コンポーネントまたはサブパーツを制御することができ得る。システムコントローラは、処理パラメータおよび/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、位置および動作設定、ツールとの間および他の移送ツールとの間および/または特定のシステムに接続またはインタフェースしているロードロックとの間のウェハ移送を含む、本明細書に開示の任意のプロセスを制御するようにプログラムすることができ得る。
システムコントローラ430は、広義には、様々な集積回路、ロジック、メモリ、および/または、命令を受け取り、命令を発行し、オペレーションを制御し、クリーニング動作を実現し、終点測定を実現するなどのソフトウェア、を有する電子装置と定義することができ得る。集積回路には、プログラム命令を格納したファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラ、を含むことができ得る。プログラム命令は、半導体ウェハ上での特定のプロセスまたは半導体ウェハのための特定のプロセスまたはシステムに対する特定のプロセスを実行するための動作パラメータを規定する様々な個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であり得る。動作パラメータは、一部の実施形態では、ウェハの1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはダイの製造もしくは除去において1つ以上の処理工程を実現するために、プロセスエンジニアによって規定されるレシピの一部であり得る。
システムコントローラ430は、いくつかの実現形態において、システムに統合もしくは接続されるか、またはその他の方法でシステムにネットワーク接続されたコンピュータの一部であるか、またはそのようなコンピュータに接続されたものであるか、またはそれらの組み合わせであり得る。例えば、コントローラは、「クラウド」にあるか、またはファブホストコンピュータシステムの全体もしくは一部とすることができ、それは、ウェハ処理のためのリモートアクセスを可能とするものであり得る。コンピュータによって、製造オペレーションの現在の進行状況を監視し、過去の製造オペレーションの履歴を調査し、複数の製造オペレーションからの傾向またはパフォーマンスメトリックを調査するため、現在の処理のパラメータを変更するため、現在の処理に従って処理工程を設定するため、または、新たなプロセスを開始するための、システムへのリモートアクセスを実現することができる。いくつかの例において、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを介して、システムにプロセスレシピを提供することができる。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能とするユーザインタフェースを有することができ、それらは、その後、リモートコンピュータからシステムに伝達される。一部の例では、システムコントローラ430は、1つ以上のオペレーションにおいて実行される処理工程の各々のパラメータを指定するデータの形で命令を受け取る。なお、それらのパラメータは、実施されるプロセスのタイプ、およびコントローラがインタフェースまたは制御するように構成されているツールのタイプ、に固有のものであり得ることは、理解されなければならない。その場合、上述のように、相互にネットワーク接続されているとともに、本明細書に記載のプロセスおよび制御などの共通の目的に向かって協働する1つ以上の別個のコントローラを備えることなどによって、システムコントローラ430を分散させることができ得る。このような目的の分散コントローラの一例は、チェンバに搭載する1つ以上の集積回路であり、これらは、(プラットフォームレベルで、またはリモートコンピュータの一部として、など)遠隔配置された1つ以上の集積回路と通信し、共同でチェンバにおけるプロセスを制御する。
例示的なシステムは、限定するものではないが、プラズマエッチングチェンバまたはモジュール、堆積チェンバまたはモジュール、スピンリンスチェンバまたはモジュール、金属メッキチェンバまたはモジュール、クリーンチェンバまたはモジュール、ベベルエッジエッチングチェンバまたはモジュール、物理気相成長(PVD)チェンバまたはモジュール、化学気相成長(CVD)チェンバまたはモジュール、ALDチェンバまたはモジュール、ALEチェンバまたはモジュール、イオン注入チェンバまたはモジュール、トラックチェンバまたはモジュール、ならびに半導体ウェハの製作および/または製造に関連または使用することがあり得る他の任意の半導体処理システム、を含むことができ得る。
上述のように、ツールによって実行される処理工程またはいくつかの工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場の至るところに配置されたツール、メインコンピュータ、他のコントローラ、または半導体製造工場においてツール場所および/またはロードポートとの間でウェハの容器を移動させる材料搬送で使用されるツール、のうちの1つ以上と通信し得る。
図5は、真空搬送モジュール538(VTM)とインタフェース接続される各種モジュールを備えた、半導体プロセス・クラスタアーキテクチャを示している。複数の保管設備および処理モジュールの間でウェハを「搬送する」ための各種モジュールの構成は、「クラスタツールアーキテクチャ」システムと呼ばれることもある。ロードロックまたは搬送モジュールとしても知られるエアロック530が、VTM538とインタフェース接続されており、そしてこのVTMが、様々な製造プロセスを実施するために個々に最適化することができ得る4つの処理モジュール520a〜520dとインタフェース接続される。例として、処理モジュール520a〜520dは、基板エッチング、成膜、イオン注入、ウェハ洗浄、スパッタリング、および/または他の半導体プロセスを実施するように実現することができ得る。一部の実施形態では、ALDとALEは、同じモジュールで実施される。一部の実施形態では、ALDとALEは、同じツールの異なるモジュールで実施される。基板エッチング処理モジュールのうちの1つ以上(520a〜520dのいずれか)を、本明細書で開示するように、すなわち、コンフォーマル膜を堆積させ、ALDにより膜を選択的に堆積させ、パターンをエッチングし、金属をエッチングするように、さらに開示の実施形態に従った他の適切な機能用として、実現することができ得る。エアロック530および処理モジュール520a〜520dは、「ステーション」と呼ばれることもある。各ステーションは、該ステーションをVTM538にインタフェース接続するファセット536を有する。各ファセットの内部で、センサ1〜18を用いて、それぞれのステーション間で移送されるウェハ526の通過を検出する。
ロボット522により、ウェハ526をステーション間で搬送する。一実施形態では、ロボット522は1つのアームを有し、他の実施形態では、ロボット522は2つのアームを有し、その場合、各アームは、ウェハ526のようなウェハを移送のためにピックアップするためのエンドエフェクタ524を有する。大気搬送モジュール540(ATM)内のフロントエンド・ロボット532を用いて、カセットから、またはロードポート・モジュール(LPM)542内の正面開口一体型ポッド(FOUP(フープ):Front Opening Unified Pod)534から、エアロック530へウェハ526を搬送する。処理モジュール520a〜520d内部のモジュール中心528は、ウェハ526を配置するための一位置である。ATM540内のアライナ544を用いて、ウェハを位置合わせする。
例示的な処理方法では、LPM542内のフープ534のいずれか1つに、ウェハが配置される。フロントエンド・ロボット532が、ウェハをフープ534からアライナ544に搬送し、これにより、ウェハ526は、エッチングまたは処理される前に適切に中心に位置合わせされることが可能である。位置合わせされた後に、ウェハ526は、フロントエンド・ロボット532によってエアロック530内に移送される。エアロック530は、ATM540とVTM538との間で環境を適合させる能力を持つので、2つの圧力環境の間でウェハ526を損傷することなく移送することが可能である。ウェハ526は、エアロック530から、ロボット522によりVTM538を通して、処理モジュール520a〜520dのいずれか1つの中に移送される。このようなウェハの移動を達成するため、ロボット522は、そのアームの各々のエンドエフェクタ524を使用する。ウェハ526が処理されたら、それを、ロボット522によって、処理モジュール520a〜520dからエアロック530に移送する。そこから、フロントエンド・ロボット532によって、フープ534のいずれか1つまたはアライナ544に、ウェハ526を移送することができ得る。
なお、ウェハの移動を制御するコンピュータは、このクラスタアーキテクチャにローカルなものとすることができ、または製造現場内でクラスタアーキテクチャの外部、もしくは遠隔地に配置して、ネットワークを介してクラスタアーキテクチャに接続することができるということに留意すべきである。図4に関して上述したようなコントローラを、図5のツールに実装することができ得る。
[実験]
「実験1」
実験を実施して、基板の平滑度を評価した。接着層としての酸化物、窒化チタンと、さらに100nmのコバルトを含む、シリコン基板上のブランケット積層膜を、エッチング前に評価した。図6Aに示すような画像が取得されたが、これは、基板表面にわたって、さらには各種層の間の界面に、様々な塊および粒を示しており、高粗度を示唆している。図示のような測定値を採取したところ、それらは、110nm、113nm、112nm、111nmの厚さを示した。その後、開示の実施形態を用いて基板をエッチングした。予めリセス形成された(ウェットエッチングによってリセス形成された)コバルト層を含む基板であって、その上からハードマスクが堆積され、パターニングされたものを、BCl3および添加剤に暴露して、基板上にBClx層を堆積させた後に、その基板を活性化ガスに暴露するとともに、プラズマを点火した。BCl3および添加剤と、活性化ガスおよびプラズマと、これらの交互のパルスに、20サイクルにわたって基板を暴露した。そして、エッチングされた基板を、粗さについて評価した。結果として得られた基板から、図6Bに示すような画像が取得されて、その厚さが80.2nmと測定された。基板は、RMSが5nm未満の平滑な表面を示し、平滑度に少なくとも50%の改善を示している。
「実験2」
実験を実施して、開示の実施形態のエッチング速度を測定した。エッチング対象のブランケットコバルト層と、コバルト層の上から堆積されてパターニングされたハードマスクと、を有する基板を、BCl3および添加剤に暴露した。その後、基板を、活性化ガスおよびプラズマに暴露した。BCl3および添加剤と、活性化ガスおよびプラズマのサイクルに、20サイクルにわたって基板を暴露した。これらのサイクルによって、約1.4422nm/サイクルのエッチング速度で、コバルトを主に線状パターンでエッチングした。サイクル数に対するコバルト除去量について、データ点および線形モデルを図7に示している。
[結論]
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載しているが、添付の請求項の範囲内でいくらかの変更および変形を実施してもよいことは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的形態があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。

Claims (38)

  1. チェンバ内で基板を処理する方法であって、
    (a)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、前記基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、前記第1の層は前記第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスからなる群から選択された添加剤に、前記基板を暴露することと、
    (b)活性化ガスと活性化源に前記基板を暴露することと、
    を含む方法。
  2. (a)の前記継続時間は、約5秒〜約60秒の間である、請求項1に記載の方法。
  3. 前記基板上にボロン含有ハロゲン化物層を堆積させるためのサイクルにおける第1セットで、(a)と(b)を繰り返すことを、さらに含む、請求項1に記載の方法。
  4. 前記金属をエッチングするためのサイクルにおける第2セットで、(a)と(b)を繰り返すことを、さらに含む、請求項3に記載の方法。
  5. 前記サイクルの第2セットにおける(a)の前記継続時間は、前記サイクルの第1セットにおける(a)の前記継続時間よりも短い、請求項4に記載の方法。
  6. 前記サイクルの第2セットにおける(b)の前記継続時間は、前記サイクルの第1セットにおける(b)の前記継続時間よりも長い、請求項4に記載の方法。
  7. 前記第2セットにおけるサイクル数は、前記第1セットにおけるサイクル数とは異なる、請求項4に記載の方法。
  8. (b)ではバイアスが印加される、請求項1に記載の方法。
  9. 前記サイクルの第1セットでは第1のバイアス電力で、前記サイクルの第2セットでは第2のバイアス電力で、(b)においてバイアスが印加される、請求項4に記載の方法。
  10. 前記第1のバイアス電力は、前記第2のバイアス電力よりも大きい、請求項9に記載の方法。
  11. 前記第1のバイアス電力は、前記第2のバイアス電力よりも小さい、請求項9に記載の方法。
  12. 前記第1のバイアス電力は、約20Vb〜約100Vbの間である、請求項9に記載の方法。
  13. 前記第2のバイアス電力は、約30Vb〜約150Vbの間である、請求項9に記載の方法。
  14. 前記添加剤は、H2、CH4、CF4、NF3、Cl2、およびこれらの組み合わせ、からなる群から選択される、請求項1に記載の方法。
  15. 前記活性化ガスは、Ar、H2、CH4、CF4、He、Ne、Xe、NF3、およびこれらの組み合わせ、からなる群から選択される、請求項1に記載の方法。
  16. 前記ボロン含有ハロゲン化物ガスは、BCl3、BBr3、BF3、BI3、からなる群から選択される、請求項1に記載の方法。
  17. 前記金属は、コバルト、鉄、マンガン、ニッケル、白金、パラジウム、ルテニウム、からなる群から選択される、請求項1に記載の方法。
  18. 前記活性化源は、プラズマである、請求項1に記載の方法。
  19. 前記プラズマの電力は、約100W〜約1500Wの間である、請求項18に記載の方法。
  20. 前記チェンバの圧力は、約2mT〜約90mTの間である、請求項1に記載の方法。
  21. 前記基板は、パターニングされる、請求項1ないし20のいずれかに記載の方法。
  22. 前記金属の表面は、前記マスクの表面に対して窪んでいる、請求項1ないし20のいずれかに記載の方法。
  23. 暴露の合間に、前記チェンバをパージすることをさらに含む、請求項1ないし20のいずれかに記載の方法。
  24. 前記基板上のフィーチャの側壁に化合物が再堆積されることは実質的にない、請求項1ないし20のいずれかに記載の方法。
  25. 前記基板は、コンタクトを形成するためにエッチングされる、請求項1ないし20のいずれかに記載の方法。
  26. 前記基板は、前記金属のブランケット層へのサブトラクティブ・エッチングによってエッチングされる、請求項1ないし20のいずれかに記載の方法。
  27. 前記金属の表面のRMS粗さは、約5nm未満である、請求項1ないし20のいずれかに記載の方法。
  28. (b)において、前記活性化源に前記基板を暴露することは、イオンビームエッチングまたは反応性イオンエッチングを含む、請求項1ないし20のいずれかに記載の方法。
  29. (a)と(b)は、真空破壊することなく実施される、請求項1ないし20のいずれかに記載の方法。
  30. (a)と(b)は、同じチェンバ内で実施される、請求項29に記載の方法。
  31. (a)と(b)は、同じ装置の異なるモジュールで実施される、請求項29に記載の方法。
  32. (a)と(b)の少なくとも一方は、自己制御反応を含む、請求項1ないし20のいずれかに記載の方法。
  33. 前記マスクは、非金属を含む、請求項1ないし20のいずれかに記載の方法。
  34. 前記マスクは、前記金属とは組成が異なる他の金属を含む、請求項1に記載の方法。
  35. (a)または(b)を実施する前に、前記金属に部分的にリセスを形成するために、前記基板をウェットエッチングすることをさらに含む、請求項22に記載の方法。
  36. 基板を処理するための装置であって、
    (a)チャックをそれぞれ有する1つ以上の処理チェンバと、
    (b)前記処理チェンバへの1つ以上のガス入口および関連したフロー制御ハードウェアと、
    (c)少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、
    前記少なくとも1つのプロセッサと前記メモリは相互に通信接続されており、
    前記少なくとも1つのプロセッサは、前記フロー制御ハードウェアと少なくとも作用的に接続されており、
    前記メモリは、前記フロー制御ハードウェアを少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を記憶しており、該制御は、
    (i)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、前記基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、前記第1の層は前記第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスからなる群から選択される添加剤を、前記1つ以上の処理チェンバのいずれかに流入させることと、
    (ii)前記1つ以上の処理チェンバのいずれかに活性化ガスを流入させるとともに、活性化源を点火すること、による制御である、装置。
  37. 真空破壊することなく、前記ボロン含有ハロゲン化物ガス、前記添加剤、および前記活性化ガスを流入させる、請求項36に記載の装置。
  38. 前記活性化源は、プラズマである、請求項36に記載の装置。
JP2016082061A 2015-04-24 2016-04-15 チェンバ内で基板を処理する方法およびその装置 Active JP6964964B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562152715P 2015-04-24 2015-04-24
US62/152,715 2015-04-24
US14/749,285 2015-06-24
US14/749,285 US9870899B2 (en) 2015-04-24 2015-06-24 Cobalt etch back

Publications (3)

Publication Number Publication Date
JP2016208027A true JP2016208027A (ja) 2016-12-08
JP2016208027A5 JP2016208027A5 (ja) 2019-05-16
JP6964964B2 JP6964964B2 (ja) 2021-11-10

Family

ID=57146883

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016082061A Active JP6964964B2 (ja) 2015-04-24 2016-04-15 チェンバ内で基板を処理する方法およびその装置

Country Status (6)

Country Link
US (2) US9870899B2 (ja)
JP (1) JP6964964B2 (ja)
KR (1) KR20160126890A (ja)
CN (1) CN106067442B (ja)
SG (1) SG10201603092RA (ja)
TW (1) TWI692034B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019197856A (ja) * 2018-05-11 2019-11-14 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2020521320A (ja) * 2017-05-16 2020-07-16 ラム リサーチ コーポレーションLam Research Corporation リソグラフィにおける確率的な歩留まりへの影響の排除
JP2021044507A (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 クリーニング方法およびクリーニングプログラムを記録する記録媒体
JP2023086076A (ja) * 2021-12-09 2023-06-21 財團法人工業技術研究院 堆積装置及び堆積方法
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
JP7504977B2 (ja) 2017-05-16 2024-06-24 ラム リサーチ コーポレーション リソグラフィにおける確率的な歩留まりへの影響の排除

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9831124B1 (en) * 2016-10-28 2017-11-28 Globalfoundries Inc. Interconnect structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10497567B2 (en) * 2017-08-07 2019-12-03 Applied Materials, Inc. Method of enhanced selectivity of hard mask using plasma treatments
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11355353B2 (en) 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102460795B1 (ko) * 2018-05-09 2022-10-28 도쿄엘렉트론가부시키가이샤 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10818494B2 (en) 2018-09-07 2020-10-27 Globalfoundries Inc. Metal on metal multiple patterning
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10763429B2 (en) 2018-10-12 2020-09-01 International Business Machines Corporation Self-aligned ion beam etch sputter mask for magnetoresistive random access memory
US10825726B2 (en) 2018-10-16 2020-11-03 International Business Machines Corporation Metal spacer self aligned multi-patterning integration
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10811310B2 (en) 2018-10-31 2020-10-20 International Business Machines Corporation Metal spacer self aligned double patterning with airgap integration
US10982335B2 (en) * 2018-11-15 2021-04-20 Tokyo Electron Limited Wet atomic layer etching using self-limiting and solubility-limited reactions
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020205335A1 (en) * 2019-04-05 2020-10-08 Tokyo Electron Limited Independent control of etching and passivation gas components for highly selective silicon oxide/silicon nitride etching
US11069564B2 (en) 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
US11107727B2 (en) 2019-05-10 2021-08-31 International Business Machines Corporation Double metal double patterning with vias extending into dielectric
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features
KR20220152755A (ko) 2021-05-10 2022-11-17 삼성전자주식회사 원자층 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US20230083577A1 (en) * 2021-09-13 2023-03-16 Applied Materials, Inc. Recessed metal etching methods

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4252801A (en) * 1980-01-04 1981-02-24 E. R. Squibb & Sons, Inc. Morpholinyl acetamide derivatives and use thereof
JPH061769B2 (ja) * 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JPH11513846A (ja) 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー 金属除去方法
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
WO1999036956A1 (en) * 1998-01-13 1999-07-22 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6755945B2 (en) 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) * 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) * 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) * 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) * 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
TWI509695B (zh) * 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2014049466A (ja) * 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20140214474A1 (en) * 2013-01-25 2014-07-31 Marcello Balduccini Aggregation of customer requirements
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9520821B1 (en) * 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) * 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
JP2020521320A (ja) * 2017-05-16 2020-07-16 ラム リサーチ コーポレーションLam Research Corporation リソグラフィにおける確率的な歩留まりへの影響の排除
JP7504977B2 (ja) 2017-05-16 2024-06-24 ラム リサーチ コーポレーション リソグラフィにおける確率的な歩留まりへの影響の排除
JP7199381B2 (ja) 2017-05-16 2023-01-05 ラム リサーチ コーポレーション リソグラフィにおける確率的な歩留まりへの影響の排除
JP2023036764A (ja) * 2017-05-16 2023-03-14 ラム リサーチ コーポレーション リソグラフィにおける確率的な歩留まりへの影響の排除
JP2019197856A (ja) * 2018-05-11 2019-11-14 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11530486B2 (en) 2019-09-13 2022-12-20 Tokyo Electron Limited Cleaning method and recording medium for recording cleaning program
JP7300945B2 (ja) 2019-09-13 2023-06-30 東京エレクトロン株式会社 クリーニング方法およびクリーニングプログラムを記録する記録媒体
JP2021044507A (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 クリーニング方法およびクリーニングプログラムを記録する記録媒体
US11988965B2 (en) 2020-01-15 2024-05-21 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
JP2023086076A (ja) * 2021-12-09 2023-06-21 財團法人工業技術研究院 堆積装置及び堆積方法
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method
JP7499293B2 (ja) 2021-12-09 2024-06-13 財團法人工業技術研究院 堆積装置及び堆積方法

Also Published As

Publication number Publication date
US20180102236A1 (en) 2018-04-12
CN106067442A (zh) 2016-11-02
US20160314985A1 (en) 2016-10-27
KR20160126890A (ko) 2016-11-02
US9870899B2 (en) 2018-01-16
TWI692034B (zh) 2020-04-21
CN106067442B (zh) 2019-09-20
US10784086B2 (en) 2020-09-22
JP6964964B2 (ja) 2021-11-10
SG10201603092RA (en) 2016-11-29
TW201709332A (zh) 2017-03-01

Similar Documents

Publication Publication Date Title
US10784086B2 (en) Cobalt etch back
US11069535B2 (en) Atomic layer etch of tungsten for enhanced tungsten deposition fill
US10304659B2 (en) Ale smoothness: in and outside semiconductor industry
TWI832325B (zh) 設計者原子層蝕刻
US10186426B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ale (atomic layer etch)
JP7058080B2 (ja) Aleおよび選択的蒸着を用いた基板のエッチング
US9837312B1 (en) Atomic layer etching for enhanced bottom-up feature fill
US11062897B2 (en) Metal doped carbon based hard mask removal in semiconductor fabrication
US11742212B2 (en) Directional deposition in etch chamber
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190401

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190401

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200602

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200901

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210421

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210921

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211020

R150 Certificate of patent or registration of utility model

Ref document number: 6964964

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150