JP2016208027A5 - チェンバ内で基板を処理する方法およびその装置 - Google Patents

チェンバ内で基板を処理する方法およびその装置 Download PDF

Info

Publication number
JP2016208027A5
JP2016208027A5 JP2016082061A JP2016082061A JP2016208027A5 JP 2016208027 A5 JP2016208027 A5 JP 2016208027A5 JP 2016082061 A JP2016082061 A JP 2016082061A JP 2016082061 A JP2016082061 A JP 2016082061A JP 2016208027 A5 JP2016208027 A5 JP 2016208027A5
Authority
JP
Japan
Prior art keywords
substrate
gas
layer
boron
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016082061A
Other languages
English (en)
Other versions
JP2016208027A (ja
JP6964964B2 (ja
Filing date
Publication date
Priority claimed from US14/749,285 external-priority patent/US9870899B2/en
Application filed filed Critical
Publication of JP2016208027A publication Critical patent/JP2016208027A/ja
Publication of JP2016208027A5 publication Critical patent/JP2016208027A5/ja
Application granted granted Critical
Publication of JP6964964B2 publication Critical patent/JP6964964B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

[結論]
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載しているが、添付の請求項の範囲内でいくらかの変更および変形を実施してもよいことは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的形態があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。本発明は、以下の適用としても実施可能である。
[適用例1]チェンバ内で基板を処理する方法であって、
(a)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、前記基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、前記第1の層は前記第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスからなる群から選択された添加剤に、前記基板を暴露することと、
(b)活性化ガスと活性化源に前記基板を暴露することと、
を含む方法。
[適用例2](a)の前記継続時間は、約5秒〜約60秒の間である、適用例1に記載の方法。
[適用例3]前記基板上にボロン含有ハロゲン化物層を堆積させるためのサイクルにおける第1セットで、(a)と(b)を繰り返すことを、さらに含む、適用例1に記載の方法。
[適用例4]前記金属をエッチングするためのサイクルにおける第2セットで、(a)と(b)を繰り返すことを、さらに含む、適用例3に記載の方法。
[適用例5]前記サイクルの第2セットにおける(a)の前記継続時間は、前記サイクルの第1セットにおける(a)の前記継続時間よりも短い、適用例4に記載の方法。
[適用例6]前記サイクルの第2セットにおける(b)の前記継続時間は、前記サイクルの第1セットにおける(b)の前記継続時間よりも長い、適用例4に記載の方法。
[適用例7]前記第2セットにおけるサイクル数は、前記第1セットにおけるサイクル数とは異なる、適用例4に記載の方法。
[適用例8](b)ではバイアスが印加される、適用例1に記載の方法。
[適用例9]前記サイクルの第1セットでは第1のバイアス電力で、前記サイクルの第2セットでは第2のバイアス電力で、(b)においてバイアスが印加される、適用例4に記載の方法。
[適用例10]前記第1のバイアス電力は、前記第2のバイアス電力よりも大きい、適用例9に記載の方法。
[適用例11]前記第1のバイアス電力は、前記第2のバイアス電力よりも小さい、適用例9に記載の方法。
[適用例12]前記第1のバイアス電力は、約20Vb〜約100Vbの間である、適用例9に記載の方法。
[適用例13]前記第2のバイアス電力は、約30Vb〜約150Vbの間である、適用例9に記載の方法。
[適用例14]前記添加剤は、H 2 、CH 4 、CF 4 、NF 3 、Cl 2 、およびこれらの組み合わせ、からなる群から選択される、適用例1に記載の方法。
[適用例15]前記活性化ガスは、Ar、H 2 、CH 4 、CF 4 、He、Ne、Xe、NF 3 、およびこれらの組み合わせ、からなる群から選択される、適用例1に記載の方法。
[適用例16]前記ボロン含有ハロゲン化物ガスは、BCl 3 、BBr 3 、BF 3 、BI 3 、からなる群から選択される、適用例1に記載の方法。
[適用例17]前記金属は、コバルト、鉄、マンガン、ニッケル、白金、パラジウム、ルテニウム、からなる群から選択される、適用例1に記載の方法。
[適用例18]前記活性化源は、プラズマである、適用例1に記載の方法。
[適用例19]前記プラズマの電力は、約100W〜約1500Wの間である、適用例18に記載の方法。
[適用例20]前記チェンバの圧力は、約2mT〜約90mTの間である、適用例1に記載の方法。
[適用例21]前記基板は、パターニングされる、適用例1ないし20のいずれかに記載の方法。
[適用例22]前記金属の表面は、前記マスクの表面に対して窪んでいる、適用例1ないし20のいずれかに記載の方法。
[適用例23]暴露の合間に、前記チェンバをパージすることをさらに含む、適用例1ないし20のいずれかに記載の方法。
[適用例24]前記基板上のフィーチャの側壁に化合物が再堆積されることは実質的にない、適用例1ないし20のいずれかに記載の方法。
[適用例25]前記基板は、コンタクトを形成するためにエッチングされる、適用例1ないし20のいずれかに記載の方法。
[適用例26]前記基板は、前記金属のブランケット層へのサブトラクティブ・エッチングによってエッチングされる、適用例1ないし20のいずれかに記載の方法。
[適用例27]前記金属の表面のRMS粗さは、約5nm未満である、適用例1ないし20のいずれかに記載の方法。
[適用例28](b)において、前記活性化源に前記基板を暴露することは、イオンビームエッチングまたは反応性イオンエッチングを含む、適用例1ないし20のいずれかに記載の方法。
[適用例29](a)と(b)は、真空破壊することなく実施される、適用例1ないし20のいずれかに記載の方法。
[適用例30](a)と(b)は、同じチェンバ内で実施される、適用例29に記載の方法。
[適用例31](a)と(b)は、同じ装置の異なるモジュールで実施される、適用例29に記載の方法。
[適用例32](a)と(b)の少なくとも一方は、自己制御反応を含む、適用例1ないし20のいずれかに記載の方法。
[適用例33]前記マスクは、非金属を含む、適用例1ないし20のいずれかに記載の方法。
[適用例34]前記マスクは、前記金属とは組成が異なる他の金属を含む、適用例1に記載の方法。
[適用例35](a)または(b)を実施する前に、前記金属に部分的にリセスを形成するために、前記基板をウェットエッチングすることをさらに含む、適用例22に記載の方法。
[適用例36]基板を処理するための装置であって、
(a)チャックをそれぞれ有する1つ以上の処理チェンバと、
(b)前記処理チェンバへの1つ以上のガス入口および関連したフロー制御ハードウェアと、
(c)少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、
前記少なくとも1つのプロセッサと前記メモリは相互に通信接続されており、
前記少なくとも1つのプロセッサは、前記フロー制御ハードウェアと少なくとも作用的に接続されており、
前記メモリは、前記フロー制御ハードウェアを少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を記憶しており、該制御は、
(i)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、前記基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、前記第1の層は前記第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスからなる群から選択される添加剤を、前記1つ以上の処理チェンバのいずれかに流入させることと、
(ii)前記1つ以上の処理チェンバのいずれかに活性化ガスを流入させるとともに、活性化源を点火すること、による制御である、装置。
[適用例37]真空破壊することなく、前記ボロン含有ハロゲン化物ガス、前記添加剤、および前記活性化ガスを流入させる、適用例36に記載の装置。
[適用例38]前記活性化源は、プラズマである、適用例36に記載の装置。

Claims (38)

  1. チェンバ内で基板を処理する方法であって、
    (a)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、前記基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、前記第1の層は前記第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスからなる群から選択された添加剤に、前記基板を暴露することと、
    (b)活性化ガスと活性化源とに前記基板を暴露することと、
    を含み、
    前記活性化源は、前記活性化ガスをイオン化することで、前記基板と反応するために活性化された活性化ガスを形成する、方法。
  2. (a)の前記継続時間は、5〜60秒の間である、請求項1に記載の方法。
  3. 前記基板上にボロン含有ハロゲン化物層を堆積させるためのサイクルにおける第1セットで、(a)と(b)を繰り返すことを、さらに含む、請求項1に記載の方法。
  4. 前記金属をエッチングするためのサイクルにおける第2セットで、(a)と(b)を繰り返すことを、さらに含む、請求項3に記載の方法。
  5. 前記サイクルの第2セットにおける(a)の前記継続時間は、前記サイクルの第1セットにおける(a)の前記継続時間よりも短い、請求項4に記載の方法。
  6. 前記サイクルの第2セットにおける(b)の前記継続時間は、前記サイクルの第1セットにおける(b)の前記継続時間よりも長い、請求項4に記載の方法。
  7. 前記第2セットにおけるサイクル数は、前記第1セットにおけるサイクル数とは異なる、請求項4に記載の方法。
  8. (b)ではバイアス電圧が印加される、請求項1に記載の方法。
  9. 前記サイクルの第1セットでは第1のバイアス電圧で、前記サイクルの第2セットでは第2のバイアス電圧で、(b)においてバイアス電圧が印加される、請求項4に記載の方法。
  10. 前記第1のバイアス電圧は、前記第2のバイアス電圧よりも大きい、請求項9に記載の方法。
  11. 前記第1のバイアス電圧は、前記第2のバイアス電圧よりも小さい、請求項9に記載の方法。
  12. 前記第1のバイアス電圧、20Vb〜100Vbの間である、請求項9に記載の方法。
  13. 前記第2のバイアス電圧、30Vb〜150Vbの間である、請求項9に記載の方法。
  14. 前記添加剤は、H2、CH4、CF4、NF3、Cl2、およびこれらの組み合わせ、からなる群から選択される、請求項1に記載の方法。
  15. 前記活性化ガスは、Ar、H2、CH4、CF4、He、Ne、Xe、NF3、およびこれらの組み合わせ、からなる群から選択される、請求項1に記載の方法。
  16. 前記ボロン含有ハロゲン化物ガスは、BCl3、BBr3、BF3、BI3、からなる群から選択される、請求項1に記載の方法。
  17. 前記金属は、コバルト、鉄、マンガン、ニッケル、白金、パラジウム、ルテニウム、からなる群から選択される、請求項1に記載の方法。
  18. 前記活性化源は、プラズマである、請求項1に記載の方法。
  19. 前記プラズマの電力は、100W〜1500Wの間である、請求項18に記載の方法。
  20. 前記チェンバの圧力は、2mTorr 〜90mTorr の間である、請求項1に記載の方法。
  21. 前記基板は、パターニングされる、請求項1ないし20のいずれかに記載の方法。
  22. 前記金属の表面は、前記マスクの表面に対して窪んでいる、請求項1ないし20のいずれかに記載の方法。
  23. 暴露の合間に、前記チェンバをパージすることをさらに含む、請求項1ないし20のいずれかに記載の方法。
  24. 前記基板上のフィーチャの側壁に化合物が再堆積されることは実質的にない、請求項1ないし20のいずれかに記載の方法。
  25. 前記基板は、コンタクトを形成するためにエッチングされる、請求項1ないし20のいずれかに記載の方法。
  26. 前記基板は、前記金属のブランケット層へのサブトラクティブ・エッチングによってエッチングされる、請求項1ないし20のいずれかに記載の方法。
  27. 前記金属の表面のRMS粗さは、5nm未満である、請求項1ないし20のいずれかに記載の方法。
  28. (b)において、前記活性化源に前記基板を暴露することは、イオンビームエッチングまたは反応性イオンエッチングを含む、請求項1ないし20のいずれかに記載の方法。
  29. (a)と(b)は、真空破壊することなく実施される、請求項1ないし20のいずれかに記載の方法。
  30. (a)と(b)は、同じチェンバ内で実施される、請求項29に記載の方法。
  31. (a)と(b)は、同じ装置の異なるモジュールで実施される、請求項29に記載の方法。
  32. (a)と(b)の少なくとも一方は、自己制御反応を含む、請求項1ないし20のいずれかに記載の方法。
  33. 前記マスクは、非金属を含む、請求項1ないし20のいずれかに記載の方法。
  34. 前記マスクは、前記金属とは組成が異なる他の金属を含む、請求項1に記載の方法。
  35. (a)または(b)を実施する前に、前記金属に部分的にリセスを形成するために、前記基板をウェットエッチングすることをさらに含む、請求項22に記載の方法。
  36. 基板を処理するための装置であって、
    (a)チャックをそれぞれ有する1つ以上の処理チェンバと、
    (b)前記処理チェンバへの1つ以上のガス入口および関連したフロー制御ハードウェアと、
    (c)少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、
    前記少なくとも1つのプロセッサと前記メモリは相互に通信接続されており、
    前記少なくとも1つのプロセッサは、前記フロー制御ハードウェアと少なくとも作用的に接続されており、
    前記メモリは、前記フロー制御ハードウェアを少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能命令を記憶しており、該制御は、
    (i)マスクの表面上にボロン含有ハロゲン化物材料の第1の層を、前記基板上の金属の表面上にボロン含有ハロゲン化物材料の第2の層を、前記第1の層は前記第2の層よりも厚く、選択的に堆積させるのに十分な継続時間で、ボロン含有ハロゲン化物ガスと、水素含有ガスおよびハロゲン含有ガスからなる群から選択される添加剤を、前記1つ以上の処理チェンバのいずれかに流入させることと、
    (ii)前記1つ以上の処理チェンバのいずれかに活性化ガスを流入させるとともに、活性化源を点火すること、による制御であり、
    前記活性化源は、前記活性化ガスをイオン化することで、前記基板と反応するために活性化された活性化ガスを形成する、装置。
  37. 真空破壊することなく、前記ボロン含有ハロゲン化物ガス、前記添加剤、および前記活性化ガスを流入させる、請求項36に記載の装置。
  38. 前記活性化源は、プラズマである、請求項36に記載の装置。
JP2016082061A 2015-04-24 2016-04-15 チェンバ内で基板を処理する方法およびその装置 Active JP6964964B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562152715P 2015-04-24 2015-04-24
US62/152,715 2015-04-24
US14/749,285 2015-06-24
US14/749,285 US9870899B2 (en) 2015-04-24 2015-06-24 Cobalt etch back

Publications (3)

Publication Number Publication Date
JP2016208027A JP2016208027A (ja) 2016-12-08
JP2016208027A5 true JP2016208027A5 (ja) 2019-05-16
JP6964964B2 JP6964964B2 (ja) 2021-11-10

Family

ID=57146883

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016082061A Active JP6964964B2 (ja) 2015-04-24 2016-04-15 チェンバ内で基板を処理する方法およびその装置

Country Status (6)

Country Link
US (2) US9870899B2 (ja)
JP (1) JP6964964B2 (ja)
KR (1) KR20160126890A (ja)
CN (1) CN106067442B (ja)
SG (1) SG10201603092RA (ja)
TW (1) TWI692034B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7133975B2 (ja) 2018-05-11 2022-09-09 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9831124B1 (en) * 2016-10-28 2017-11-28 Globalfoundries Inc. Interconnect structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10497567B2 (en) * 2017-08-07 2019-12-03 Applied Materials, Inc. Method of enhanced selectivity of hard mask using plasma treatments
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
KR102630349B1 (ko) 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019217584A1 (en) * 2018-05-09 2019-11-14 Tokyo Electron Limited Methods and systems for patterning of low aspect ratio stacks
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10818494B2 (en) 2018-09-07 2020-10-27 Globalfoundries Inc. Metal on metal multiple patterning
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10763429B2 (en) 2018-10-12 2020-09-01 International Business Machines Corporation Self-aligned ion beam etch sputter mask for magnetoresistive random access memory
US10825726B2 (en) 2018-10-16 2020-11-03 International Business Machines Corporation Metal spacer self aligned multi-patterning integration
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10811310B2 (en) 2018-10-31 2020-10-20 International Business Machines Corporation Metal spacer self aligned double patterning with airgap integration
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10982335B2 (en) * 2018-11-15 2021-04-20 Tokyo Electron Limited Wet atomic layer etching using self-limiting and solubility-limited reactions
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022527552A (ja) * 2019-04-05 2022-06-02 東京エレクトロン株式会社 高度に選択的な酸化ケイ素/窒化ケイ素エッチングのためのエッチング成分及び不動態化ガス成分の独立した制御
US11069564B2 (en) 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
US11107727B2 (en) 2019-05-10 2021-08-31 International Business Machines Corporation Double metal double patterning with vias extending into dielectric
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
JP7300945B2 (ja) * 2019-09-13 2023-06-30 東京エレクトロン株式会社 クリーニング方法およびクリーニングプログラムを記録する記録媒体
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features
KR20220152755A (ko) 2021-05-10 2022-11-17 삼성전자주식회사 원자층 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US20230083577A1 (en) * 2021-09-13 2023-03-16 Applied Materials, Inc. Recessed metal etching methods
TWI790028B (zh) * 2021-12-09 2023-01-11 財團法人工業技術研究院 沉積設備及沉積方法
US11961716B2 (en) 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4252801A (en) * 1980-01-04 1981-02-24 E. R. Squibb & Sons, Inc. Morpholinyl acetamide derivatives and use thereof
JPH061769B2 (ja) * 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JPH11513846A (ja) 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー 金属除去方法
WO1999036956A1 (en) * 1998-01-13 1999-07-22 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) * 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) * 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) * 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
TWI529808B (zh) * 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2014049466A (ja) * 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20140214474A1 (en) * 2013-01-25 2014-07-31 Marcello Balduccini Aggregation of customer requirements
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
KR101745686B1 (ko) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9520821B1 (en) * 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) * 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7133975B2 (ja) 2018-05-11 2022-09-09 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Similar Documents

Publication Publication Date Title
JP2016208027A5 (ja) チェンバ内で基板を処理する方法およびその装置
KR102489215B1 (ko) 유사 원자층 에칭 방법
TWI627672B (zh) 基板之高精度電漿蝕刻方法
JP2018510515A5 (ja)
KR102528430B1 (ko) 피처리체를 처리하는 방법
KR102364434B1 (ko) 에칭 방법
JP2016208031A5 (ja)
JP6529357B2 (ja) エッチング方法
US10763123B2 (en) Method for processing workpiece
JP2016051900A5 (ja)
JP2018517263A5 (ja) イオン注入システム及びその場(in situ)プラズマクリーニング方法
WO2009105347A3 (en) Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch
KR102326635B1 (ko) 플라즈마 처리 장치의 클리닝 방법
JP2017010016A5 (ja)
JP2019186322A (ja) 被加工物の処理方法
US10192750B2 (en) Plasma processing method
TWI766866B (zh) 蝕刻方法
TW201724162A (zh) 被處理體之處理方法
TWI594320B (zh) 形成圖案之方法
TWI699828B (zh) 可調的遠端分解
JP2014096500A (ja) プラズマエッチング方法及びプラズマエッチング装置
US20140162194A1 (en) Conformal sacrificial film by low temperature chemical vapor deposition technique
TW200847422A (en) Method of cleaning a patterning device, method of depositing a layer system on a substrate, system for cleaning a patterning device, and coating system for depositing a layer system on a substrate
JP2019087626A (ja) プラズマエッチング方法
US20090223931A1 (en) Dry etching method and apparatus