JP7168741B2 - 選択的表面改質を利用する構造の充填技術 - Google Patents

選択的表面改質を利用する構造の充填技術 Download PDF

Info

Publication number
JP7168741B2
JP7168741B2 JP2021142704A JP2021142704A JP7168741B2 JP 7168741 B2 JP7168741 B2 JP 7168741B2 JP 2021142704 A JP2021142704 A JP 2021142704A JP 2021142704 A JP2021142704 A JP 2021142704A JP 7168741 B2 JP7168741 B2 JP 7168741B2
Authority
JP
Japan
Prior art keywords
cavity
sidewalls
ald
energy flux
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021142704A
Other languages
English (en)
Other versions
JP2021192446A (ja
Inventor
レシュキーズ カーティス
ヴェーハヴェーベク スティーブン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021192446A publication Critical patent/JP2021192446A/ja
Application granted granted Critical
Publication of JP7168741B2 publication Critical patent/JP7168741B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Description

関連出願の相互参照
本出願は、「TECHNIQUES FOR FILLING A STRUCTUR
E USING SELECTIVE SURFACE MODIFICATION(選
択的表面改質を利用する構造の充填技術)」と題する、2015年11月13日出願の米
国仮特許出願第62/255017号の優先権を主張し、その全体が参照により本明細書
に組み込まれる。
本発明は、デバイス構造の処理に関し、より詳細には、デバイス構造内のキャビティの
充填に関する。
今日、半導体デバイス製造のようなデバイス製造は、トレンチ、孔、または他の構造体
等の小さなキャビティの充填を必要とする場合がある。このようなキャビティは、金属材
料、絶縁材料、またはその他の材料で充填することができる。このようなキャビティの寸
法がより小さなサイズに縮小するほど、キャビティを充填する能力はより困難を伴う。例
えば、所与の構造のアスペクト比(深さ/幅)が高い場合、トレンチまたはビア構造の充
填は、特に困難となり得る。いくつかの例では、トレンチは、1よりも大きい、特に2よ
りも大きいアスペクト比を有し得る。トレンチを充填するための多様な公知の堆積技術で
は、充填材料がトレンチ内に導入されると、充填材料を、トレンチの底部およびトレンチ
の側壁を含む複数の露出した表面上に堆積する。このタイプの堆積は、オーバーハングを
もたらし、かつトレンチ内に埋設孔を形成し、結果として得られるデバイス構造に不所望
な特性をもたらし得る。
これらおよび他の考慮事項に関して、本開示が提供される。
一実施形態では、デバイス処理の方法は、層にキャビティを設けるステップと、キャビ
ティの底面にエネルギーフラックスを指向するステップと、キャビティを水分含有雰囲気
に曝露するステップと、原子層堆積(ALD)プロセスを利用してキャビティに充填材料
を導入するステップと、を含むことができる。充填材料は、キャビティの側壁に対してキ
ャビティの底面上に選択的に堆積させることができる。
別の実施形態では、システムは搬送チャンバを含むことができ、この搬送チャンバは、
複数の場所の間で基板を搬送するように構成する。システムは、エネルギーフラックスチ
ャンバを含んでもよく、このエネルギーフラックスチャンバは、基板を受容するように搬
送チャンバに連結され、また、このエネルギーフラックスチャンバは、基板に向けてエネ
ルギーフラックスを指向する。システムは、水分チャンバを更に含んでもよく、この水分
チャンバは、搬送チャンバに連結され、基板にHO雰囲気を提供する。システムは、原
子層堆積チャンバも含むことができ、この原子層堆積チャンバは、搬送チャンバに連結さ
れ、かつ第1反応物および第2反応物を基板に提供し、この第1反応物および第2反応物
が充填材料の少なくとも1つの単一層を形成する。システムは、エッチングチャンバを含
んでもよく、このエッチングチャンバは、搬送チャンバに連結され、充填材料をエッチン
グするために、基板にエッチング液を指向する。
更なる実施形態では、処理装置は、基板を収容する処理チャンバと、指向的に基板にエ
ネルギーフラックスを供給するエネルギーフラックス源と、基板にHOを供給する水分
源と、原子層堆積源と、を含む。原子層堆積源は、原子層堆積プロセスを用いて基板上に
充填材料を堆積させるために、基板に少なくとも二種を提供することができる。エネルギ
ーフラックス源、水分源、および原子層堆積源は、プロセスチャンバに連結されてもよい
本開示の実施形態による方法に含まれる例示的な動作を示す説明図である。 本開示の実施形態による方法に含まれる例示的な動作を示す説明図である。 本開示の実施形態による方法に含まれる例示的な動作を示す説明図である。 本開示の実施形態による方法に含まれる例示的な動作を示す説明図である。 本開示の他の実施形態による例示的な動作を示す説明図である。 本開示の他の実施形態による例示的な動作を示す説明図である。 本開示の他の実施形態による例示的な動作を示す説明図である。 本開示の追加の実施形態による例示的な動作を示す説明図である。 本開示の追加の実施形態による例示的な動作を示す説明図である。 本開示の追加の実施形態による例示的な動作を示す説明図である。 原子層堆積プロセス前に、エネルギーフラックスへの曝露を伴う、および伴わない基板上の膜成長の結果を比較した、フーリエ変換赤外分光法を示す説明図である。 原子層堆積を実施する前に、エネルギーフラックスへの曝露を伴う、および伴わない、原子層堆積プロセスを用いた基板上の膜成長を比較した複合図である。 本開示の実施形態による例示的なプロセスフローである。 本開示の実施形態による例示的なシステムを示す説明図である。 本開示の実施形態による例示的な装置を示す説明図である。
図面は必ずしも縮尺通りではない。図面は単なる代表であり、本開示の特定のパラメー
タを表現することを意図するものではない。図面は、本開示の例示的な実施形態を示すこ
とを意図したものであり、従って、範囲を限定するものとみなされるべきではない。図面
において、同様の番号は同様の要素を表す。
更に、一部の図面におけるある要素は、説明を明瞭にするため、省略され、または縮尺
通りに示されていない場合がある。断面図は、「スライス」の形態、または「近視眼的」
な断面図であってもよく、説明を明瞭にするために、「真の」断面図では普通ならば見る
ことができるいくつかの背景線が省略されることがある。更に、明瞭にするため、いくつ
かの図面において、一部の参照番号を省略している場合がある。
以下、本発明の実施形態を、いくつかの実施形態を示す添付図面を参照しながら、より
詳細に説明する。しかしながら、本開示の主題は、多くの異なる形態で具体化でき、本明
細書に記載した実施形態に限定されるものとして解釈されるべきではない。むしろ、これ
らの実施形態は、本開示が徹底的かつ完全なものとなり、そして本発明の範囲を当業者に
十分に伝えるように提供される。図面において、同様な要素には同様の番号を付してある
本明細書に記載した実施形態は、基板内のトレンチまたはビア等のキャビティを充填す
るための処理を含む、新規なデバイス処理を提供する。多様な実施形態では、アスペクト
比が1より大きい高アスペクト比のキャビティを含むキャビティのトレンチ充填またはビ
ア充填を改善するための技術が提供される。実施形態はこの文脈に限定されるものではな
い。
図1A~図1Dは、本開示の実施形態によるキャビティの充填方法に伴う例示的な動作
を示す。キャビティは、多様な実施形態において、基板ベースまたは基板ベース上に配置
された層のような構造に設けられてもよい。基板ベースは、例えば、半導体、絶縁体、ま
たは基板の主要部分を形成する他の材料等の材料であることができる。任意の数の層を基
板ベース上に配置することができる。
次に、図1Aを参照すると、層106として示される構造内に配置されたキャビティ1
00が示されている。多様な実施形態において、層106は、基板ベースを表してもよく
、または基板内あるいは基板上に配置された層であってもよい(図示せず)。いくつかの
実施形態では、層106は、酸化ケイ素、窒化ケイ素、またはオキシ炭化ケイ素からなる
ことができる。実施形態はこの文脈に限定されない。
キャビティ100は、本開示の多様な実施形態による異なる構造を有することができる
。いくつかの実施形態では、キャビティ100は、キャビティが図示のデカルト座標系に
従ってX-Y平面内で楕円形または円形の形状を有する、ビア構造を有することができる
。これらの実施形態では、側壁104は、キャビティ100の側面を画定するただ1つの
側壁であってもよい。他の実施形態では、キャビティはトレンチ構造を有し、この場合、
トレンチは、側壁104として示される一対の対向する側壁を含む。更に、このようなト
レンチは、対向する端壁(図示せず)を含むことができる。キャビティ100は、図示の
ように、底面102を含むこともできる。いくつかの実施形態において、キャビティは、
充填される任意の標的とされるトレンチパターンのような、X-Y平面内に複雑な形状を
有することができる。
多様な実施形態によれば、キャビティ100の側壁および底面は、酸素等の表面種10
8によって終端されていてもよい。以下で詳述するように、キャビティ100の表面上に
配置された表面種108は、堆積プロセスにて提供される特定の反応種と反応して、絶縁
体または金属等のターゲット材料の堆積を容易にするように構成することができる。
次に、図1Bを参照すると、エネルギーフラックス112がキャビティ100の底面1
02に指向される動作が示されている。多様な実施形態において、エネルギーフラックス
112は、エネルギーフラックスチャンバにて供給されてもよく、この場合、エネルギー
フラックス112は、イオン、電子、あるいは光子、またはそれらの組み合わせとするこ
とができる。エネルギーフラックス112は、側壁104と比較して底面102に優先的
に衝突する、キャビティ100の底面102に向けて供給されてもよい。イオンがエネル
ギーフラックス112を構成する実施形態では、イオンは、コリメートされた様式で底面
102に向けて指向されてもよい。特定の実施形態では、イオンは、平行な軌道を有する
イオンを含むイオンビームとして指向されてもよい。イオンは、例えば、側壁104に平
行な軌道を有するように供給されてもよい。トレンチの両側の側壁104が、概して互い
に平行に配置されている場合、平行なイオンビームを側壁104に平行に指向して、底面
102に衝突させつつ、側壁104に衝突させないようにすることができる。このように
して、イオンまたは他のエネルギーフラックスにより、底面102を選択的に変化させつ
つ、側壁104を変化させないことができる。いくつかの実施形態によれば、図1Bの動
作において、公知のビームラインイオン注入装置、小型イオンビーム源、プラズマ源、ま
たはコリメートされたイオンを供給可能なその他の公知の供給源を使用して、エネルギー
イオンを提供することができる。
多様な実施形態によれば、エネルギーフラックスのエネルギーは、表面が水酸化物(O
H)終端を形成しやすい方法で、エネルギーフラックスに曝露されたキャビティ100の
表面を変化させるのに十分なエネルギーを供給するように構成することができる。イオン
を使用してキャビティの表面を変化させる実施形態では、イオンのイオンエネルギーは、
衝突された表面に過度の損傷を与えずに、衝突された表面が水酸化物を形成しやすくなる
のに十分なエネルギーを供給されるよう調整することができる。いくつかの実施形態では
、エネルギーフラックス112として供給されるイオンのイオンエネルギーは、5eV~
500eVの範囲であり得る。いくつかの実施形態では、イオンは、Arイオンを含む不
活性ガスイオンとして提供されてもよく、またはOイオンを含む反応性イオンであって
もよい。エネルギーフラックス112として光子が使用される実施形態では、光子は、紫
外線(UV)エネルギー範囲の紫外線光子 または真空紫外線(VUV)エネルギー範囲
の真空紫外線光子として提供されてもよい。特定の実施形態では、エネルギーフラックス
112として使用される光子の光子エネルギーは、7eV~10eVの範囲であり得る。
本開示の実施形態によれば、キャビティ100は、エネルギーフラックス112への曝
露に関連して水分含有(HO)雰囲気に曝露されてもよい。多様な実施形態において、
水分含有雰囲気は、エネルギーフラックス112の供給に続いて提供されてもよい。次に
、図1Cを参照すると、エネルギーフラックス112への曝露後かつ水分含有雰囲気への
曝露後のキャビティ100のシナリオが示されている。この実施例では、図1Bに示すよ
うに、エネルギーフラックス112は、底面102に選択的に指向されつつ、側壁104
には衝突していない。図示されているように、底面102がOH基によって終端される一
方で、側壁104はOH基によって終端されていなくてもよい。この差は、HOと併せ
てエネルギーフラックス112を用いるトレンチ底部の変化の結果として生じ得る。キャ
ビティを水分含有雰囲気に曝露する間、側壁104はHOに曝露されているが、エネル
ギーフラックス112によって変化していない側壁104は、HOと反応して、その表
面上にOH反応生成物を形成しない場合がある。
本開示の実施形態によれば、底面102にOH終端表面を選択的に形成した後、キャビ
ティ100を原子層堆積(ALD)等の堆積プロセスに曝露することができる。ALDは
、一般的に、2種類以上の反応物への連続的な曝露により、材料の所与の単一層を堆積さ
せるステップを含む。多様な実施形態では、ALDプロセスを実行して、Ta等の酸化物
、窒化物または金属等の材料を選択的に堆積させてもよい。いくつかの実施形態では、酸
化物は高誘電率材料であってもよく、高誘電率材料の例には、Al、HfO、T
、および誘電率がSiOの誘電率より大きいその他の材料を含む。実施形態は
この文脈に限定されないものとする。
本開示の実施形態によれば、ALDプロセスを用いた充填材料の堆積は、側壁104に
対して底面102上で選択的に進めることができる。特に、底面102のOH終端により
、アルミニウム含有反応物、ハフニウム含有反応物、またはタンタル含有反応物の堆積を
促進させ、特定の材料を指定することができる。異なる実施例では、このOH終端は、側
壁104とは対照的に、底面102上のAl、HfO、Ta、またはタン
タル金属の選択的な成長をもたらし得る。多様な実施形態では、所与のALDプロセスは
、図1Dに示される充填材料120によって示唆されるように、所与の材料のボトムアッ
プ充填を生じさせるために周期的に実行されてもよい。換言すると、側壁104からの成
長は、概して、底面102に平行な層の成長が促進される間に、抑制されてもよい。この
成長は、高アスペクト比のトレンチまたはビアの場合でさえも、ピンチオフを回避するの
に役立つ。
更に説明すると、図2A~図2Cは、本実施形態による選択的ALDの順序を示してい
る。平面基板構成について図示されているが、図2A~図2Cのプロセスは、図1A~図
1Cに示される前述した順序で採用されてもよい。図2Aでは、誘電体基板200が提供
される。いくつかの実施例では、誘電体基板200はキャビティ100の表面を表すこと
ができる。換言すると、誘電体基板200の平面は、キャビティ100の表面と同じ材料
であってもよい。図2Bにおいて、誘電体基板200の部分202は、部分206に官能
基204を成長させない一方で、部分202上に官能基204を成長させるように選択的
に変化されている。このようにして、ALDプロセスが官能基204の存在によって強化
される部分202上で、選択的ALDを促進させることができる。図2Cに示すように、
続いて、ALDによって部分202上に層208が堆積される一方で、部分206上に層
は成長しない。
次に、図3A~図3Cを参照すると、本開示の一実施形態による図2A~図2Cのプロ
セスのある実装形態が示されている。この実施例では、図3Aにおいて、BDIIxのよ
うなシリコンオキシカーバイド基板300が設けられている。図3Bでは、シリコンオキ
シカーバイド基板300の一部304を遮蔽するマスク302が設けられている。同時に
、エネルギーフラックス308をシリコンオキシカーバイド基板300の遮蔽されていな
い部分306に指向する。多様な実施形態では、エネルギーフラックス308を生成する
エネルギーフラックスチャンバは、紫外線チャンバであってもよく、この紫外線チャンバ
は、紫外放射線源を含む。いくつかの実施形態では、紫外放射線源は、例えば、150n
m~200nmの間の波長を有する放射を放射することができる。この特定の実施例では
、エネルギーフラックスは172nmの紫外放射線(NBUV)を構成することができる
。HfOのALDプロセスに曝露する前に、部分304および部分306は、水分含有
雰囲気にも曝露される。その結果、シリコンオキシカーバイド基板300全体を後続のA
LDプロセスに曝露することによって、HfO層310が択的に成長する。図示される
ように、HfO層310は遮蔽されていない部分306上にのみ成長し、部分304上
には成長しない。
図4は、ALDプロセスが実行される前に、エネルギーフラックスに曝露された領域お
よび曝露されていない領域における、シリコンオキシカーバイド基板300上の膜成長の
結果を比較したフーリエ変換赤外分光法を示す。図示されるように、遮蔽されていない部
分306に取り込まれたスペクトル402は、膜形成の特徴である3400の波数の範囲
にて吸収のピークを示している。部分304に取り込まれたスペクトル404は、膜形成
を示すピークを示していない。
本開示の追加の実施形態によれば、ALDおよびエッチングの組み合わせを実施するこ
とによって、標的とする表面上の所与の材料の選択的な堆積を促進することができる。い
くつかの実施形態では、ALDおよびHFエッチング等のエッチングは、周期的に実行さ
れてもよい。実施形態はこの文脈に限定されないものとする。図5は、原子層堆積を実施
する前に、UV光子束に曝露された、および曝露されていない基板の領域を比較した、原
子層堆積プロセスを用いた基板上の膜成長の比較を示す複合図である。特に、シリコンオ
キシカーバイド上のHfOの選択的ALD堆積の例が示されている。図5のグラフは、
実行されたALDサイクル数の関数として、基板サンプル上に堆積された酸化ハフニウム
量を示している。酸化ハフニウム量は、所与のサンプルを測定するために使用されるX線
光電子分光分析法(XPS)信号の強度によって示されている。曲線502は、ALDサ
イクル数の関数として示される、ALD前のUVフラックスおよび水分に曝露された基板
部分上に堆積される酸化ハフニウム量を表している。曲線504は、ALD前にUVフラ
ックスに曝露されない一方で水分には曝露された基板部分上に堆積される酸化ハフニウム
を表している。この実験では、エッチングプロセスが実行される前に、最初の一連の20
回のALDサイクルが実行される。図示されているように、酸化ハフニウム量は、2つの
ケースで、ALDサイクル数と共に増加しているが、UVに曝露された基板部分の堆積率
は、恐らく曝露されていない基板部分の堆積率の3倍~4倍である。
20回のALDサイクル後、UVフラックスに曝露された領域および基板の曝露されて
いない領域にエッチングを施すが、このエッチングにより目標量の酸化ハフニウム材料を
除去する。2つのサンプルにおけるエッチング後に残る酸化ハフニウムの量はそれぞれ、
点506および点508によって示されている。図示されているように、エッチング後の
曝露されていない部分上には酸化ハフニウムが残っておらず、一方で、曝露部分には酸化
ハフニウム層が残っている。続いて、一連の20回の更なるALDサイクルを実行し、続
いて第2のエッチングが実行される。このプロセスの終わりには、酸化ハフニウムは曝露
されていない部分には残らない一方で、曝露部分には酸化ハフニウムが残っている。この
結果は、処理の多様な段階における、UV照射されたサンプルおよび未照射のサンプルの
断面の電子顕微鏡写真を示す、挿入図によって確認される。右上の挿入図に示すように、
この実施例では、40回のALDサイクルの後に、約3nmの酸化ハフニウム層が堆積さ
れている。
平面基板上で実行される図5の上述した実施例は、例示的であり、ボトムアップトレン
チ充填またはビア充填プロセスを強化するのに適用されてもよい。例えば、キャビティの
ボトムアップ充填は、水分への曝露、その後のキャビティ内の充填材料のALD、および
ALDプロセス後の充填材料のエッチングに関連して、底面をエネルギーフラックスに選
択的に曝露することによって強化することができる。このようにして、一連のALD後に
生じるトレンチの側壁上の何らかの不所望な堆積を除去することができる。この除去によ
り、改善されたボトムアップトレンチ充填プロセスを容易にし、ボイド形成または他の望
ましくない微細構造を生じさせるタイプの成長を回避することができる。
例えば、説明のために、20回のALDサイクルが実行された後の一シナリオでは、図
1Dに示すように、厚さ4nmの充填材料の層をトレンチ内に、全体的にボトムアップ方
式で堆積することができる。続いて、0.5nmの充填材料を除去するためにエッチング
を実施することができる。このエッチングは、トレンチ内の充填材料の表面上に露出した
側壁から何らかの残留した充填材料を除去するのに有効である。換言すると、エッチング
プロセス後、充填材料の表面上の側壁の露出領域上に、充填材料は存在しない。エッチン
グにより、充填材料を0.5nmだけ窪ませることもできる。続いて、ボトムアップトレ
ンチ充填プロセスを続行するために、追加のALDプロセスを実行することができる。こ
の順序は、トレンチが完全に充填されるか、または目標とするレベルまで充填されるまで
、必要に応じて繰り返すことができる。
図6は、本開示の実施形態による例示的なプロセスフロー600を示す。ブロック60
2では、層内にキャビティを設ける動作が実行される。ブロック604では、エネルギー
フラックスをキャビティの底面に指向する。多様な実施形態において、エネルギーイオン
フラックスは、500eV以下のイオンエネルギーのような目標とするイオンエネルギー
を有するイオンを含むことができる。
ブロック606では、水分含有雰囲気へのキャビティの曝露を実施する。多様な実施形
態によれば、水分含有雰囲気への曝露は、ブロック604の後に実施してもよい。
ブロック608では、ALDプロセスを用いて充填材料をキャビティ内に導入し、充填
材料をキャビティの側壁に対してキャビティの底面上に選択的に堆積する。多様な実施形
態において、充填材料は、高誘電率材料等の酸化物または金属を構成することができる。
ブロック610では、エッチングプロセスを実行する。エッチングプロセスは、所定量
の充填材料を除去するように構成することができる。判定ブロック612では、充填プロ
セスが完了したか否かの判定が行われる。完了していればフローは終了する。未完了であ
れば、フローはブロック608に戻る。
図7は、本開示の実施形態による、システム700として示される、例示的なシステム
の平面図(X-Y平面)を示す。システム700は、本明細書に開示された実施形態に従
って、充填プロセスを実行するために使用することができる。システム700は、基板7
20を様々な処理チャンバに、および様々な処理チャンバの間に搬送するロードロック7
02および搬送チャンバ704を含むクラスタツールとして構成することができる。搬送
チャンバ704および処理チャンバは、以下に説明する搬送チャンバ704および他の処
理チャンバを真空状態または制御された周囲条件下に維持するために、公知のポンプシス
テム(図示せず)等の排気装置に連結されていてもよい。従って、基板720は、雰囲気
に曝露せずに、様々な処理チャンバと搬送チャンバ704との間で搬送されてもよい。シ
ステム700は、搬送チャンバ704に連結されたプラズマ浸漬チャンバ706を含むこ
とができ、ここで、基板720を、基板720のキャビティ内に平行に指向されたイオン
に曝露する。システム700は、搬送チャンバ704に連結され、制御下でHOに曝露
する水分チャンバ708を更に含むことができる。システム700は、ALDチャンバ7
10として示され、搬送チャンバ704に連結しており、かつ公知の装置に従って、基板
720を原子層堆積プロセスに、例えば、Al、HfO、Ta、またはタ
ンタル金属等の所与の材料システムに曝露するように配置された、原子層堆積チャンバも
含むことができる。ALDチャンバ710は、例えば、原子層堆積プロセスを実行するた
めに、ALDチャンバ710に制御可能に指向される蒸気種の2つ以上の供給源に連結さ
れていてもよい。システム700は、搬送チャンバ704に連結されたエッチングチャン
バ712も含むことができ、この場合、基板720は、エッチングチャンバ712内で、
HF等のエッチング液またはキャビティの充填中に所定量の材料を除去するための他のエ
ッチング液に曝露されてもよい。システム700は、搬送チャンバ704に連結され、か
つ所定の波長範囲の紫外線を基板720に指向するUVチャンバ714を更に含むことが
できる。例えば、プラズマ浸漬チャンバ706内の基板720を処理する代わりに、図1
Bに概略的に示されるように、紫外線が制御された様式で基板720のキャビティの底部
に指向されるUVチャンバ714に基板720を搬送することができる。従って、基板7
20は、外界に曝露されることなく、システム700の様々な処理チャンバ間で搬送され
得る。特定の実施形態では、UVチャンバ714は、172nmの範囲のピークエネルギ
ーおよび約7eV~10eVのエネルギー範囲を有する放射を生成するエネルギーランプ
を含むことができる。
システム700は、システム700の異なる処理チャンバの中で、基板720の搬送お
よび処理を指示および調整するコントローラ730を更に含むことができる。例えば、コ
ントローラ730は、プラズマ浸漬チャンバ706、水分チャンバ708、ALDチャン
バ710、およびエッチングチャンバ712を含む、システム700の複数の処理チャン
バの中で基板の処理を制御するための、ロジック、メモリ、およびユーザインタフェース
を含むソフトウェアおよびハードウェアの任意の組み合わせを含むことができる。一例と
して、コントローラ730により、キャビティを充填するためのレシピに従ったサイクル
プロセスにおいて、システム700の様々な処理チャンバの間で搬送される基板720を
指揮することができる。サイクルプロセスは、基板720を所与の処理チャンバの内外に
複数回搬送するステップを伴い、また、異なる処理チャンバ間で基板720を複数回搬送
して、キャビティ充填プロセスを完了させるステップを伴うことができる。処理レシピの
うち特定の一例では、基板720は、エネルギー光子への第1の曝露のためにUVチャン
バ714に搬送され、基板720内のキャビティの底面を変化させてもよい。続いて、基
板720は、搬送チャンバ704を介して、第2の曝露のために水分チャンバ708に搬
送され、ここで、キャビティの底面は図1Cに関連して上述したように、OH終端となる
。続いて、基板720は、最初のALD処理順序のためにALDチャンバ710に搬送さ
れてもよく、ここで、基板720は第1回のALDサイクルに曝露される。第1回のAL
Dサイクルは、キャビティ内の所定の厚さまで充填材料を堆積させるように構成された所
定のALDサイクル数であってもよい。最初のALD処理順序の後、基板720をエッチ
ングチャンバ712に搬送して、充填材料を所定量までエッチングすることができる。こ
の充填材料のエッチングにより、結果的に、図5に関連して上述したように、充填材料の
成長率がはるかに小さくなり得る、UV放射に直接的に曝露されていない不所望の表面か
ら充填材料を完全に除去することができる。続いて、基板720は、追加のALDサイク
ルの間、ALDチャンバ710に戻される。例えば、基板720が第2回のALDサイク
ルに曝露されてキャビティ内の充填材料の厚さを増加させる第2のALD処理順序を実行
してもよい。この第2のALD処理順序の後に、第2のエッチングプロセスのために基板
720をエッチングチャンバ712に戻すことができる。ALDチャンバ710とエッチ
ングチャンバ712との間のサイクルは、キャビティのボトムアップ充填を最適化するた
めに所定のレシピに従って実行されてもよい。基板720の処理全体において、ロードロ
ック702に進入した後、基板720を、システム700外の雰囲気に曝露することなく
処理することができる。
システム700は、本開示の実施形態によるキャビティ充填プロセスを実行するための
クラスタツール構成を含む一アプローチを提供しているが、他の実施形態では、異なる装
置を使用してキャビティ充填プロセスを実行してもよい。例えば、いくつかの実施形態で
は、キャビティ充填プロセスを実行するための処理装置は、たった1つの処理チャンバ等
、少数の処理チャンバを含むことができる。一実施形態では、図8に示すように、装置8
00は、基板720等の基板を収容する処理チャンバ802を含むことができる。装置8
00は、指向的に基板にエネルギーフラックスを供給するエネルギーフラックス源804
と、基板720にHOを供給する水分源806と、を更に含むことができる。装置80
0は、原子層堆積源808を含むこともでき、この原子層堆積源808は、原子層堆積プ
ロセスを用いて基板720上に充填材料を堆積させるために、基板に少なくとも二種を供
給する。原子層堆積源は、特に、複数のガス源または蒸気源を含むことができ、所与のガ
ス源または蒸気源は、ALDプロセスのうち1つの構成要素を提供する。いくつかの実施
例では、エネルギーフラックス源804、水分源806、および原子層堆積源808は、
その中で実行される異なる処理のために処理チャンバ802に全て連結していてもよい。
本実施形態は、トレンチの底部等の標的とする表面上のみでの成長を促進することによ
って、狭いトレンチおよび埋設されたボイドを有しない高アスペクト比のトレンチを充填
する利点を提供する。更に、本実施形態は、トレンチ等のキャビティがボトムアップから
充填され得る故、より小さい寸法へのスケーラビリティを更に提供する利点も有する。
本発明は本明細書に記載の特定の実施形態によって範囲が制限されるものではない。実
際に、本明細書の記載されたものに加えて、本発明の他の様々な実施形態および変更は、
前述の説明および添付の図面から当業者には明らかである。従って、これらの他の実施形
態および修正は、本発明の範囲に含まれるものとする。更に、本発明は、特定の目的のた
めの特定の環境における特定の実装に関する説明として記載されているが、有用性はこの
記載に限定されず、本発明が様々な目的のために様々な環境で有益に実装され得ることを
当業者は認識することができる。従って、以下に記載される特許請求の範囲は、本明細書
に記載される発明の完全な範囲および精神を考慮して解釈されるものである。

Claims (18)

  1. デバイス処理の方法であって、
    層にキャビティを設けるステップと、
    前記キャビティの底面にエネルギーフラックスを指向するステップと、を含み、前記キャビティは、前記底面に対して垂直に指向する側壁を有しており、前記エネルギーフラックスは、前記側壁に対して平行に指向するとともに前記キャビティの前記側壁に衝突することなく、また、
    前記キャビティを水分含有雰囲気に曝露するステップと、
    原子層堆積(ALD)プロセスを利用して前記キャビティに充填材料を導入するステップと、を含み、前記充填材料前記底面に平行な層を形成し、前記側壁での前記充填材料の形成が抑制される、方法。
  2. 請求項1に記載の方法であって、前記エネルギーフラックスはイオンを含み、前記イオンは平行な軌道を有する、方法。
  3. 請求項2に記載の方法であって、前記イオンは、前記キャビティの前記側壁に平行に指向される軌道を含む、方法。
  4. 請求項2に記載の方法であって、前記イオンは、500eV以下のイオンエネルギーを含む、方法。
  5. 請求項1に記載の方法であって、前記底面は曝露後にOH終端表面を形成し、かつ、前記側壁は曝露後にOH終端表面を形成しない、方法。
  6. 請求項1に記載の方法であって、前記充填材料は高誘電率材料である、方法。
  7. 請求項1に記載の方法であって、前記充填材料は金属である、方法。
  8. 請求項1に記載の方法であって、前記キャビティを、酸化ケイ素、窒化ケイ素、またはオキシ炭化ケイ素を含む材料中に配置する、方法。
  9. 請求項1に記載の方法であって、前記エネルギーフラックスは、真空紫外線光子または電子を含む、方法。
  10. 請求項1に記載の方法であって、前記ALDプロセスは、ALDサイクルを所定の回数だけ実施し、前記所定の回数のALDサイクル後にエッチングプロセスを実施するステップを更に含み、前記エッチングプロセスは、所定量の充填材料を除去するのに効果的である、方法。
  11. 請求項10に記載の方法であって、前記エッチングプロセスの後、前記充填材料の表面よりも上の前記側壁の曝露領域には、充填材料が存在しない、方法。
  12. 請求項10に記載の方法であって、前記エッチングプロセスの後、追加のALDサイクルを含む第2回のALDプロセスを実行するステップを更に含む、方法。
  13. 請求項9に記載の方法であって、前記エネルギーフラックスは、7eV~10eVのエネルギーを有する光子を含む、方法。
  14. 請求項1に記載の方法であって、前記充填材料は、充填レベルまで前記キャビティを部分的に充填する、方法。
  15. 請求項14に記載の方法であって、前記充填材料は、前記充填レベルよりも上の前記側壁の上部に存在しない、方法。
  16. デバイス処理の方法であって、
    層にキャビティを設けるステップと、
    前記キャビティの底面にエネルギーフラックスを指向するステップと、を含み、前記キャビティは、前記底面に対して垂直に指向する側壁を有しており、前記エネルギーフラックスは、前記側壁に対して平行に指向するとともに前記キャビティの前記側壁に衝突することなく、また、
    前記キャビティを水分含有雰囲気に曝露するステップと、
    原子層堆積(ALD)プロセスを利用して前記キャビティに充填材料を導入するステップと、を含み、前記充填材料は、前記底面に平行な層を形成し、前記充填材料は、充填レベルまで前記キャビティを部分的に充填する、方法。
  17. 請求項16に記載の方法であって、前記充填材料は、前記充填レベルよりも上の前記側壁の上部に存在しない、方法。
  18. 請求項16に記載の方法であって、前記エネルギーフラックスは、イオン、真空紫外線光子または電子を含む、方法。
JP2021142704A 2015-11-13 2021-09-01 選択的表面改質を利用する構造の充填技術 Active JP7168741B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562255017P 2015-11-13 2015-11-13
US62/255,017 2015-11-13
JP2018522791A JP6938491B2 (ja) 2015-11-13 2016-11-10 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018522791A Division JP6938491B2 (ja) 2015-11-13 2016-11-10 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置

Publications (2)

Publication Number Publication Date
JP2021192446A JP2021192446A (ja) 2021-12-16
JP7168741B2 true JP7168741B2 (ja) 2022-11-09

Family

ID=58690322

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018522791A Active JP6938491B2 (ja) 2015-11-13 2016-11-10 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置
JP2021142704A Active JP7168741B2 (ja) 2015-11-13 2021-09-01 選択的表面改質を利用する構造の充填技術

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018522791A Active JP6938491B2 (ja) 2015-11-13 2016-11-10 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置

Country Status (6)

Country Link
US (2) US9935005B2 (ja)
JP (2) JP6938491B2 (ja)
KR (1) KR20180069038A (ja)
CN (1) CN108352300B (ja)
TW (1) TWI705478B (ja)
WO (1) WO2017083469A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102582496B1 (ko) * 2018-05-28 2023-09-26 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10707100B2 (en) 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US10916420B2 (en) 2018-06-07 2021-02-09 Tokyo Electron Limited Processing method and plasma processing apparatus
CN110783187B (zh) 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN110777361B (zh) 2018-07-26 2023-08-01 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
WO2020061417A1 (en) * 2018-09-21 2020-03-26 Applied Materials, Inc. Gap-fill with aluminum-containing films
JP2020136677A (ja) * 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP6783888B2 (ja) * 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP2022063748A (ja) * 2020-10-12 2022-04-22 東京エレクトロン株式会社 埋め込み方法及び成膜装置
TW202237882A (zh) * 2021-03-02 2022-10-01 荷蘭商Asm Ip私人控股有限公司 用於形成包含釩及氧的層之方法及系統

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008141125A (ja) 2006-12-05 2008-06-19 Sekisui Chem Co Ltd 半導体装置及びその製造方法
JP2009074001A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20110200762A1 (en) 2008-05-30 2011-08-18 Kumar Navneet Surface Preparation for Thin Film Growth by Enhanced Nucleation
US20120052681A1 (en) 2010-08-31 2012-03-01 Micron Technology, Inc. Methods of selectively forming a material
CN103515207A (zh) 2012-06-19 2014-01-15 中芯国际集成电路制造(上海)有限公司 氧化层、hkmg结构中界面层、mos晶体管形成方法及mos晶体管
WO2014116500A1 (en) 2013-01-25 2014-07-31 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
JP2014175337A (ja) 2013-03-06 2014-09-22 Tokyo Electron Ltd 選択エピタキシャル成長法および成膜装置

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US651382A (en) * 1898-10-14 1900-06-12 Simon E Pettee Mail-canceling machine.
US5342792A (en) * 1986-03-07 1994-08-30 Canon Kabushiki Kaisha Method of manufacturing semiconductor memory element
JPH0233153A (ja) * 1988-07-22 1990-02-02 Toshiba Corp 半導体装置の製造方法
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6228720B1 (en) * 1999-02-23 2001-05-08 Matsushita Electric Industrial Co., Ltd. Method for making insulated-gate semiconductor element
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP3477462B2 (ja) * 2001-08-21 2003-12-10 松下電器産業株式会社 半導体装置の製造方法
US6531382B1 (en) * 2002-05-08 2003-03-11 Taiwan Semiconductor Manufacturing Company Use of a capping layer to reduce particle evolution during sputter pre-clean procedures
JP2006505127A (ja) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. 酸素架橋構造及び方法
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US7842605B1 (en) * 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
CN100541736C (zh) * 2003-11-11 2009-09-16 东京毅力科创株式会社 基板处理方法
DE102004005702A1 (de) * 2004-02-05 2005-09-01 Siltronic Ag Halbleiterscheibe, Vorrichtung und Verfahren zur Herstellung der Halbleiterscheibe
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP2007019191A (ja) * 2005-07-06 2007-01-25 Fujitsu Ltd 半導体装置とその製造方法
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TWI366876B (en) * 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
CN101466864A (zh) * 2006-06-08 2009-06-24 东京毅力科创株式会社 成膜装置、成膜方法、计算机程序和存储介质
US7625820B1 (en) * 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
WO2008005773A2 (en) * 2006-07-03 2008-01-10 Applied Materials, Inc. Cluster tool for advanced front-end processing
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7964504B1 (en) * 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US7981763B1 (en) * 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
US20140374907A1 (en) * 2012-06-21 2014-12-25 Applied Materials, Inc. Ultra-thin copper seed layer for electroplating into small features
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
CN105047600B (zh) * 2014-04-24 2019-01-18 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008141125A (ja) 2006-12-05 2008-06-19 Sekisui Chem Co Ltd 半導体装置及びその製造方法
JP2009074001A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20110200762A1 (en) 2008-05-30 2011-08-18 Kumar Navneet Surface Preparation for Thin Film Growth by Enhanced Nucleation
US20120052681A1 (en) 2010-08-31 2012-03-01 Micron Technology, Inc. Methods of selectively forming a material
CN103515207A (zh) 2012-06-19 2014-01-15 中芯国际集成电路制造(上海)有限公司 氧化层、hkmg结构中界面层、mos晶体管形成方法及mos晶体管
WO2014116500A1 (en) 2013-01-25 2014-07-31 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
JP2014175337A (ja) 2013-03-06 2014-09-22 Tokyo Electron Ltd 選択エピタキシャル成長法および成膜装置

Also Published As

Publication number Publication date
TWI705478B (zh) 2020-09-21
TW201727701A (zh) 2017-08-01
CN108352300A (zh) 2018-07-31
JP2021192446A (ja) 2021-12-16
WO2017083469A1 (en) 2017-05-18
US9935005B2 (en) 2018-04-03
US20180218943A1 (en) 2018-08-02
US20170140983A1 (en) 2017-05-18
JP2019501518A (ja) 2019-01-17
CN108352300B (zh) 2022-03-29
US10559496B2 (en) 2020-02-11
JP6938491B2 (ja) 2021-09-22
KR20180069038A (ko) 2018-06-22

Similar Documents

Publication Publication Date Title
JP7168741B2 (ja) 選択的表面改質を利用する構造の充填技術
JP7293211B2 (ja) 高エネルギー原子層エッチング
KR102574582B1 (ko) 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
JP6629312B2 (ja) 選択的堆積のための方法及び装置
US9633867B2 (en) Method and apparatus for anisotropic tungsten etching
USRE47170E1 (en) Method of forming semiconductor patterns
KR102630751B1 (ko) 3d nand 에칭
TWI555086B (zh) Deposit removal method
TW201639000A (zh) 利用掩模及方向性電漿處理之選擇性沉積
TWI749099B (zh) 碳基膜之自限性循環蝕刻方法
KR101930577B1 (ko) 퇴적물 제거 방법
JP2022116000A (ja) 空隙を形成するためのシステム及び方法
KR102407808B1 (ko) 그래포에피택시 방법을 위한 기능화된 가이드 패턴 형성방법
JP6017170B2 (ja) 堆積物除去方法及びガス処理装置
CN115803846A (zh) 用于去除含氮化物膜的系统和方法
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
US11205576B2 (en) Monolayer film mediated precision material etch
US9435038B2 (en) Ion implant assisted metal etching

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210924

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221012

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221027

R150 Certificate of patent or registration of utility model

Ref document number: 7168741

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150