CN112424914A - 电子激励原子层蚀刻 - Google Patents

电子激励原子层蚀刻 Download PDF

Info

Publication number
CN112424914A
CN112424914A CN201980046595.5A CN201980046595A CN112424914A CN 112424914 A CN112424914 A CN 112424914A CN 201980046595 A CN201980046595 A CN 201980046595A CN 112424914 A CN112424914 A CN 112424914A
Authority
CN
China
Prior art keywords
substrate
exposing
modified
surface layers
electron source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980046595.5A
Other languages
English (en)
Inventor
伊凡·L·贝瑞三世
索斯藤·利尔
安德烈亚斯·费希尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112424914A publication Critical patent/CN112424914A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

公开了用于执行原子层蚀刻的设备及方法。一种方法可以包含:对所述衬底上的材料的一或更多个表面层进行改性;以及使所述衬底上的经改性的所述一或更多个表面层暴露于电子源,从而在没有使用等离子体的情况下移除所述衬底上的经改性的所述一或更多个表面层。一种设备可包含处理室、处理气体单元、电子源以及控制器,该控制器具有配置以导致下列步骤的指令:致使该处理气体单元使该第一处理气体流至该室内部中的衬底,该第一处理气体被配置成对该衬底上的材料的一或更多层进行改性;以及致使该电子源产生电子,并使该衬底上的经改性的该一或更多表面层暴露于所述电子,在没有使用等离子体的情况下将经改性的该一或更多表面层移除。

Description

电子激励原子层蚀刻
相关申请的交叉引用
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
半导体制造经常涉及图案化架构及其他处理,从而选择性地蚀刻一些材料,以避免衬底的其他暴露表面的蚀刻。随着器件几何结构变得越来越小,高蚀刻选择性处理是所期望的,以在没有等离子体辅助下实现期望材料的有效蚀刻。
发明内容
在一些实施方案中,提供了一种处理衬底的方法。该方法可以包含:对所述衬底上的材料的一或更多个表面层进行改性;以及使所述衬底上的经改性的所述一或更多个表面层暴露于电子源,从而在没有使用等离子体的情况下移除所述衬底上的经改性的所述一或更多个表面层。
在一些实施方案中,所述暴露还可以包含使所述衬底上的经改性的所述一或更多个表面层中的全部同时暴露于所述电子源。
在一些实施方案中,所述暴露还可以包含使经改性的所述一或更多个表面层的第一部分暴露于所述电子源。
在一些实施方案中,仅使经改性的所述一或更多个表面层的所述第一部分可以暴露于所述电子源,而可以不使经改性的所述一或更多个表面层的第二部分暴露于所述电子源。
在一些实施方案中,所述暴露还可以包含:在所述第一部分的所述暴露后,使经改性的所述一或更多个表面层的第二部分暴露于所述电子源。
在一些实施方案中,所述暴露还可以包含:使经改性的所述一或更多个表面层的所述第一部分暴露于在第一波束能量水平下的所述电子源,以及使经改性的所述一或更多个表面层的所述第二部分暴露于在第二波束能量水平下的所述电子源。
在一些实施方案中,所述暴露还可以包含:使经改性的所述一或更多个表面层的所述第一部分暴露于所述电子源达第一时段,以及使经改性的所述一或更多个表面层的所述第二部分暴露于所述电子源持续第二时段。
在一些实施方案中,所述方法还可以包含:在所述暴露之后,将所述衬底上的电荷中和。
在一些实施方案中,所述暴露还可以包含:使所述衬底上的经改性的所述一或更多个表面层暴露于在足以使经改性的所述一或更多个表面层从所述衬底各向异性地移除的波束能量水平下的所述电子源。
在一些实施方案中,所述暴露还可以包含:使所述衬底上的经改性的所述一或更多个表面层暴露于在足以使经改性的所述一或更多个表面层从所述衬底各向同性地移除的波束能量水平下的所述电子源。
在一些实施方案中,所述暴露还可以包含:使所述衬底上的经改性的所述一或更多个表面层暴露于在足以使经改性的所述一或更多个表面层从所述衬底部分地各向异性移除的波束能量水平下的所述电子源。
在一些实施方案中,所述方法还可以包含:在所述改性步骤之前或期间,使第一处理气体流至所述衬底上,其中所述第一处理气体被配置成对所述衬底上的材料的所述一或更多个表面层进行改性。
在一些实施方案中,所述改性还可以包含:使所述衬底上的材料的所述一或更多个表面层暴露于等离子体。
在一些实施方案中,所述方法还可以包含:在所述衬底保持在处理室中时,重复进行下列步骤:对所述衬底上的材料的一或更多个表面层进行改性;以及使所述衬底上的经改性的所述一或更多个表面层暴露于所述电子源。
在一些实施方案中,所述方法还可以包含:在改性与暴露操作之间清扫所述处理室。
在一些实施方案中,所述材料可以具有低于约4.5电子伏特(eV)的表面结合能。
在一些实施方案中,所述材料可以是铜、铝、锗、金或银。
在一些实施方案中,在所述改性与所述暴露期间的衬底温度是基本相同的。
在一些实施方案中,提供了一种处理衬底的方法。所述方法可以包含:对所述衬底上的材料的一或更多个表面层进行改性;在所述改性后,将所述衬底上的经改性的所述一或更多个表面层转化为一或更多经转化层;以及使所述衬底上的所述一或更多经转化层暴露于电子源,从而在没有使用等离子体的情况下移除所述衬底上的所述一或更多经转化层。
在一些实施方案中,所述转化还可以包含使第二处理气体流至所述衬底上,并且所述第二处理气体被配置成将所述衬底上的经改性的所述一或更多个表面层转化为所述一或更多经转化层。
在一些这样的实施方案中,所述改性还可以包含:使所述衬底上的材料的所述一或更多个表面层暴露于等离子体。
在一些这样的实施方案中,所述转化还可以包含:使所述衬底上的材料的所述一或更多个表面层暴露于等离子体。
在一些实施方案中,可以提供一种用于半导体处理的设备。所述设备可以包含:处理室,其包含至少部分界定室内部的室壁;晶片支撑件,其用于将衬底定位于所述室内部中;处理气体单元,其被配置成使第一处理气体流入所述室内部中并且流至所述室内部中的所述衬底上;电子源,其被配置成将电子暴露于定位在所述晶片支撑件上的所述衬底;以及控制器,所述控制器包含指令,所述指令被配置成:致使所述处理气体单元使所述第一处理气体流至所述室内部中的所述衬底,所述第一处理气体被配置成对所述衬底上的材料的一或更多个表面层进行改性,以及致使所述电子源产生所述电子,从而使所述衬底上的经改性的所述一或更多个表面层暴露于所述电子,在没有使用等离子体的情况下将经改性的所述一或更多个表面层移除。
在一些实施方案中,所述设备还可以包含真空单元,所述真空单元被配置成抽排所述室内部的气体,并且所述控制器还可以包含指令,所述指令被配置成:致使所述真空单元在所述室内部中产生真空并且将气体从所述室内部清扫掉。
在一些实施方案中,所述的设备还可以包含电荷中和单元,所述电荷中和单元被配置成将所述衬底的电荷中和,所述控制器还包含指令,所述指令被配置成:致使所述电荷中和单元将所述衬底的电荷中和。
在一些这样的实施方案中,所述电荷中和单元可以是等离子体源、紫外光源和所述电子源中的至少一者。
在一些实施方案中,所述设备还可以包含等离子体产生器,所述等离子体产生器被配置成在所述室内部中产生等离子体。所述等离子体产生器可以是电容耦合式等离子体、电感耦合式等离子体、上部远程等离子体和下部远程等离子体中的一者。所述控制器还可以包含被配置成致使所述等离子体产生器在所述室内部中产生所述等离子体的指令。
在一些实施方案中,所述设备还可以包含隔离阀或闸门,所述隔离阀或闸门设置在所述室内部与所述电子源之间,并且所述隔离阀或闸门被配置成使所述电子能够到达所述室内部。
附图说明
图1描绘了根据公开的实施方案的用于执行操作的示例性工艺的流程图。
图2描绘了电子激励ALE循环的示例性示意图。
图3描绘了各种电子穿透至材料中的深度的图表。
图4描绘了另一电子激励ALE循环的示例性示意图。
图5描绘了进入材料的电子穿透。
图6A-6C描绘了进入带有凹槽的材料的电子穿透。
图7描绘了又一电子激励ALE循环的示例性示意图。
图8描绘了不同的电子激励ALE循环的示例性示意图。
图9描绘了根据公开的实施方案的用于执行操作的第二示例性工艺的流程图。
图10描绘了另一电子激励ALE循环(如图9中所示的)的示例性示意图。
图11描绘了根据公开的实施方案的用于半导体处理的设备的示例性截面图。
图12描绘了根据公开的实施方案的用于半导体处理的另一设备的示例性截面图。
具体实施方式
在以下描述中,说明了许多特定细节以提供对所提出的实施方案的透彻理解。可以在没有这些特定细节中的一些或全部的情况下实践所公开的实施方案。在其他示例中,为了不使本发明难以理解,没有详细描述公知的处理操作。虽然所公开的实施方案将与特定实施方案一同描述,但应理解并且非试图限制所公开的实施方案。
常规ALE处理
半导体制造处理通常涉及各种材料(包含导体、半导体以及电介质)的图案化及蚀刻。有些示例包含导体,例如金属或碳;半导体,例如硅或锗;以及电介质,例如氧化硅、二氧化铝、二氧化锆、二氧化铪、氮化硅以及氮化钛。原子层蚀刻(“ALE”)工艺利用顺序的自限性反应以移除薄的材料层。一般而言,ALE循环为用于执行蚀刻处理一次(例如蚀刻单层)的最小操作组合。ALE循环的结果为衬底表面上的至少一些薄膜层被蚀刻。通常,ALE循环包含改性操作以形成反应性层,接着为移除操作以仅移除或蚀刻该反应性层。该循环可包含某些辅助操作,例如移除反应物或副产物中的一者。通常,一循环包含一个独特操作序列的实例。
举例而言,常规ALE循环可包含以下操作:⑴输送反应物气体、(ii)将反应物气体从室清扫掉、(iii)输送移除气体及选用性的等离子体、以及(iv)清扫室。在一些实施方案中,可能以非保形的方式进行蚀刻。改性操作通常形成薄反应性表面层,其厚度小于未改性的材料。在一示例性改性操作中,可通过将氯导入至室中而使衬底氯化。氯用作一示例性蚀刻剂物质或蚀刻气体,但应理解,可将不同的蚀刻气体导入室中。可根据欲蚀刻的衬底的类型和化学品而选择蚀刻气体。可点燃等离子体,且氯可与衬底反应以进行蚀刻处理;氯可与衬底反应或可吸附于衬底表面上。由氯等离子体所产生的物质可通过在容纳衬底的处理室中形成等离子体而直接产生,或者该物质可在并非容纳衬底的处理室中远程地产生,且可被供应至容纳衬底的处理室中。
在一些情况下,可在改性操作之后进行清扫。在清扫操作中,可将非表面结合活性物质(例如氯)从处理室中去除。这可通过以下方式而完成:将处理室清扫和/或抽排以去除活性物质,而不会去除吸附层。可通过简单地使等离子体停止,并且使得剩余物质能衰变、选择性地结合室清扫和/或抽排,而将等离子体中所产生的物质去除。可使用任何惰性气体(例如N2、Ar、Ne、He以及其组合)以完成清扫操作。
在移除操作中,可使衬底暴露于能量源以蚀刻该衬底。能量源可包含离子轰击(例如使用氢或氦离子)、暴露于光子(其可包含活化或溅射气体或引起移除作用的化学反应性物质)、或者通过施加热。在进行移除期间,可选择性地打开偏置以促进方向性溅射和向其吸引离子。通常将偏置功率设定至防止溅射的功率,因为在该移除操作期间功率是连续输送的。在一些实施方案中,ALE可以是各向同性的,其中蚀刻以多个方向进行;在一些其他实施方案中,例如当在移除处理中使用离子时,ALE为各向异性的,其中蚀刻以特定方向(例如竖直)进行。
在许多示例中,可以循环方式重复进行改性和移除操作,例如约1至约30个循环、或约1至约20个循环。可包含任何合适数量的ALE循环以蚀刻期望的薄膜量。在一些实施方案中,以循环方式执行ALE以蚀刻衬底上的层的表面的约
Figure BDA0002892171020000061
至约
Figure BDA0002892171020000062
在一些实施方案中,ALE循环蚀刻衬底上的层的表面的约
Figure BDA0002892171020000063
至约
Figure BDA0002892171020000064
之间。在一些实施方案中,每一ALE循环可蚀刻至少约
Figure BDA0002892171020000065
Figure BDA0002892171020000066
在一些情况下,在蚀刻之前,衬底可包含材料(例如硅或锗)的覆盖层。衬底可包含先前在衬底上所沉积和图案化的图案化掩模层。例如,可在包含非晶硅覆盖层的衬底上沉积和图案化掩模层。也可将衬底上的层图案化。衬底可具有诸如通孔或接触孔之类的“特征”,其可具有通过以下一或多者来表征:狭窄和/或内凹的开口、特征内的狭窄部以及高深宽比。特征的一示例为半导体衬底或衬底上的层中的孔洞或通孔。另一示例为衬底或层中的沟槽。具有“窄”开口的特征可被定义为相对而言具有小于“宽”特征的开口直径或线宽的特征。宽特征可具有比窄特征的关键尺寸大至少1.5倍、或至少2倍、或至少5倍、或至少10倍、或多于10倍的开口直径或关键尺寸。“窄”特征的示例包含具有介于约10A至约100A之间的开口直径的特征。“宽”特征的示例包含具有大约数百埃至约1微米的开口直径的特征。在许多情况下,特征可具有下层,例如阻挡层或粘合层。下层的非限制性示例包含电介质层和传导层,例如硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物以及金属层。
ALE处理条件(例如室压强、衬底温度、等离子体功率、频率、及类型、及偏压功率)取决于待蚀刻的材料、用于将待蚀刻的材料改性的气体的组成、在待蚀刻的材料下方的材料以及用于移除经改性材料的气体的组成。然而,这些因素的结合使得执行ALE以蚀刻各种材料是具有挑战性的。例如,上述移除操作中所使用的常规能量源可能存在许多缺点以及挑战。例如,离子轰击的使用限于方向性(即,各向异性)蚀刻,从而排除在许多方向上进行蚀刻的能力。虽然此蚀刻可能能够到达深的触点或沟槽内,但该蚀刻不能在这些区域内进行各向同性蚀刻。此外,离子轰击可能导致掩模受侵蚀、变得具刻面的(faceted)、或两者兼有,其可能不利地影响光刻操作且可能造成衬底缺陷。
适用离子轰击的材料也是受限的,因为离子轰击可能导致暴露于离子轰击的材料的不期望有的溅射。在溅射中,当材料暴露于具有比该材料的表面结合能更高的动能的离子时,该材料射出粒子。因此,当以离子对具有拥有不同表面结合能的多种材料的衬底进行轰击以蚀刻一种材料时,可能使具有低于该材料的表面结合能的其他材料溅射,从而导致衬底上的材料的不期望有的移除或劣化,其最终可能导致不期望有的衬底缺陷。“软质”材料可视为具有低于4.5电子伏特(eV)的表面结合能的材料,包括铝(3.19eV)、铜(3.48eV)、锗(4.29eV)、银(3.33eV)以及金(4.13eV),而“硬质”材料可视为具有大于4.5eV的表面结合能的材料,例如硅(4.73eV)、钽(8.1eV)、铼(8.0eV)以及铌(7.5eV)。因此,当以拟用于移除硬质材料的离子对同时具有软质及硬质材料的衬底进行轰击时,该衬底可能使软质材料溅射。因此,可暴露于离子轰击以进行蚀刻的材料受到限制。
在另一示例中,使衬底暴露于光子仅限于非方向性(即,各向同性)蚀刻,因此阻碍了具有高深宽比的区域的蚀刻,例如深触点或沟槽内。相似地,施加热作为能量源以用于移除操作也受限于各向同性蚀刻,且如果蚀刻无法以有效率且及时的方式在多个温度之间循环,则产能可能受限。
在常规蚀刻的移除操作期间使用等离子体也带来了许多挑战及缺点。例如,通常期望为单一衬底的每一ALE循环以及一批次中的所有衬底建立相同的等离子体条件,但由于有些等离子体因处理室中的材料堆积而改变,因此可能难以重复地重新建立相同的等离子体条件。此外,许多常规ALE处理可能对衬底的暴露部分(例如硅氧化物)造成损害、可能造成缺陷、并可能增加图案的顶部对底部的比率且增加图案负载。缺陷可能导致图案缺失,以至于使装置变得无法使用。等离子体辅助ALE还利用小自由基(即,深度解离的自由基),其较具侵袭性,这导致它们移除比所期望的要多的材料,从而使该蚀刻的选择性减小。因此,常规ALE技术通常不适合用于选择性地蚀刻某些材料,例如二氧化铝、二氧化锆、二氧化铪、氮化硅以及氮化钛。
电子激励ALE
本文提供执行ALE的方法及设备,其利用电子作为施加能量源(而非等离子体)以驱动移除操作。依靠暴露于电子源(而非等离子体)以驱动移除操作的ALE可被视为“电子激励ALE”。
图1描绘了根据公开的实施方案的用于执行操作的示例性工艺流程图。在操作101中,将衬底提供至处理室。该衬底可以是硅晶片(例如200mm晶片、300mm晶片、或450mm晶片),其包含具有一或多层的材料(例如介电材料、导电材料、或半导电材料)沉积于其上的晶片。图案化晶片可具有“特征”(例如通孔或接触孔),其可通过以下一或多者来表征:狭窄和/或内凹的开口、特征内的狭窄部以及高深宽比。可在上述层的一或多者中形成特征。
在一些实施方案中,衬底不具有任何特征,且衬底的表面为材料的覆盖层。在一些实施方案中,衬底包含各种尺寸的特征。在许多实施方案中,通过执行公开实施方案而制造的衬底的类型可取决于执行公开实施方案之前的衬底上的特征的深宽比。在一些实施方案中,操作101中所提供的衬底上的特征可具有至少约2:1、至少约3:1、至少约4:1、至少约6:1、至少约10:1、至少约30:1、或更高的深宽比。特征也可具有接近开口的尺寸,例如介于约5nm至500nm之间(例如介于约25nm至约300nm之间)的开口直径或线宽。可在具有开口小于约20nm的特征的衬底上执行所公开的方法。
通孔、沟槽、或其他凹陷特征可被称为未填充的特征或特征。根据许多实施方案中,特征轮廓可能逐渐变窄且/或在特征开口处包含悬垂部。内凹轮廓为从特征的底部、封闭端、或内部往特征开口变窄的轮廓。内凹轮廓可通过下列各者而产生:图案化期间的非对称蚀刻动力学、和/或因先前的膜沉积(例如扩散阻挡层的沉积)中的非保形膜台阶覆盖而造成的悬垂部。在许多示例中,特征在特征顶部处的开口中的宽度可小于特征中间和/或底部的宽度。
在操作103中,使衬底暴露于改性气体,持续足以将衬底表面的至少一部分改性的持续时间。在操作103中将蚀刻化学品导入室中。如本文所述,在将材料导入室中的操作中,可通过在处理衬底或晶片之前将化学品导入室中以稳定反应器或室。稳定室的操作可使用与在稳定化之后的操作中待使用的化学品相同的流率、压强、温度以及其他条件。在一些实施方案中,稳定室的操作可涉及不同的参数。在一些实施方案中,在操作103期间使载气(例如N2、Ar、Ne、He及其组合)连续地流动。在一些实施方案中,仅在移除期间使用载气。载气在一些操作中可用作清扫气体,如下所述。
改性操作103可形成薄的、反应性的表面层或表面层的反应性部分,其厚度在后续的移除操作中比未改性材料更容易移除。如上所述,在示例性改性操作中,可通过将氯导入室中而使衬底氯化。在公开的实施方案中氯用作一示例性蚀刻剂物质,但应理解,在一些实施方案中,可将不同的蚀刻气体导入室中。可根据待蚀刻的衬底的类型以及化学品而选择蚀刻气体。在一些实施方案中,氯可与衬底进行反应、或可被吸附于衬底表面上。在许多实施方案中,氯以气态形式被导入至室中,且可任选地伴随有载气,该载气可以是上述载气中的任一种。
在一些实施方案中,可在改性操作103期间点燃等离子体,以辅助或促进衬底的一或更多层的改性。在一些实施方案中,在远程等离子体室中将改性气体点燃以产生等离子体物质,其接着被输送至容纳衬底的处理室。在一些实施方案中,在处理室内将改性气体点燃。例如,可点燃等离子体,且氯与衬底反应以进行蚀刻处理。由氯等离子体所产生的物质可通过在容纳衬底的处理室中形成等离子体而直接产生,或者这些物质可在并非容纳衬底的处理室中远程地产生,且可被供应至容纳衬底的处理室中。在一些实施方案中,不使用等离子体,且可将氯热导入至室中。
在多种实施方案中,等离子体可以是电感耦合式等离子体或电容耦合式等离子体。可将电感耦合式等离子体设置为介于约50W至约2000W之间的等离子体。在一些实施方案中,可供应例如介于约0V至约100V之间以及介于约0V至约500V之间的偏压。
在多种实施方案中,可在操作103期间脉冲化等离子体。可在约50W至约2000W之间的等离子体功率下的开启(ON)状态与0W的等离子体功率下的关断(OFF)状态之间脉冲等离子体。在一些实施方案中,可在约10W至约100W之间的等离子体功率下的低状态与约900W至约1500W之间的等离子体功率下的高状态之间脉冲化等离子体。
可在介于约10Hz至约200Hz之间的脉冲频率下进行脉冲。改性气体的等离子体脉冲的占空比可以是介于约1%至约20%之间。应理解,脉冲可涉及周期重复,这些周期重复的各者可持续一持续时间T。持续时间T包含在给定周期期间的脉冲开启时间(ON time)的持续时间(等离子体处于开启状态的持续时间)和关断时间(OFF time)的持续时间(等离子体处于关断状态的持续时间)。脉冲频率被理解为1/T。例如,针对脉冲周期T=100μs,频率为1/T=1/100μs(或10kHz)。占空比或工作比为周期T中的分率或百分比,在此期间能量源系处于开启状态,因此占空比或工作比为脉冲开启时间除以T。例如,针对脉冲周期T=100μs,若脉冲开启时间为70μs(使得能量源在一周期中处于开启状态的持续时间为70μs)且脉冲关断时间为30μs(因此能量源在一周期中处于关断状态的持续时间为30μs),则占空比为70%。
在一些实施方案中,脉冲化等离子体以使较高的能量能够被输送至改性气体。在一些实施方案中,可脉冲化等离子体以使得用于产生等离子体的设备能够在解决设备限制的某些条件下操作。例如,对于无法在短且连续的期间内输送等离子体功率的设备而言,将在短且连续的期间内所输送的剂量分成在较长时段内的多个脉冲以使总等离子体开启时间与该短且连续的期间相同,其使得足以将衬底表面上的大多数或所有活性点位改性的等离子体功率的输送变得容易。例如,若氯连续地将硅表面改性所需的最短时间为400毫秒,但设备无法在如此短的连续期间内输送氯气及等离子体功率,则该400毫秒的持续时间可利用连续氯气流、以及100ms的等离子体功率脉冲与400ms的无等离子体功率的四个循环以在2秒期间内加以输送。
在操作105中,任选地对处理室进行清扫,以移除未将衬底表面改性的过量的改性气体分子。在清扫操作中,可将非表面结合活性物质从处理室中去除。这可通过以下方式来完成:将处理室清扫和/或抽排以去除活性物质,而不会去除吸附层。可通过简单地使等离子体停止且容许剩余物质衰变、任选地结合室清扫和/或抽排,而将等离子体中所产生的物质去除。可使用任何惰性气体(例如N2、Ar、Ne、He、及其组合)以完成清扫操作。
在操作107中,将衬底的经改性表面的至少一部分暴露于电子源,该电子源供应能量至经改性的反应性表面,以使其能够从衬底解离,从而移除经改性的反应性表面或其一部分。在一些实施方案中,电子源可以是大面积源,其被配置以使整个衬底表面一起(即,同时)暴露于电子。有些实施方案可以是可由六硼化镧形成的热离子源,或者电子源可以是场电子发射源,例如经加热的二氧化钨锆(W/ZrO2)。在一些其他实施方案中,电子源可以是扫描衬底的多个部分或整个衬底的电子束。该电子源可使用定形波束(shaped beams),其可聚焦在衬底的一或更多个部分,且可在部分衬底上或整个衬底上进行扫描,例如使波束偏转至衬底的各种位置和部分的向量扫描。另一示例性电子源包含等离子体电子源。这些电子源、以及对这些源与所得蚀刻的调整在下文中更加详细描述。
在操作109中,任选地将衬底的电荷中和。在一些实施方案中,衬底的电荷在暴露于电子源之后可能比在操作107中的暴露操作之前更高,并且期望去除或减少该电荷。例如,具有带过量电荷的衬底可能不利地影响衬底的后续处理,例如吸引可能对晶片有害且具破坏性的粒子,并且使电子无法偏转而造成处理(包含蚀刻)的方向性。例如,粒子可能在晶片的前侧上所形成的集成电路内形成非预期且非常不期望的短路。更一般而言,粒子可能干扰后续的晶片处理。附着于背侧的粒子可能在处理或搬运期间落在位于下方的另一晶片上,并且在之后造成上述问题。例如,晶片通常被储存于盒式的单元(例如前开式晶片传送盒(FOUP))中,在该处,晶片被直接定位在另一晶片上方。污染一晶片底侧的粒子可能落在下方的晶片的前表面上。通常晶片仅在边缘周围加以支撑件,其使得一晶片的前侧直接暴露于在其上方的晶片的底部。
可利用许多方式将电荷减少或去除,包含例如通过使衬底暴露于来自等离子体源(例如电感耦合式等离子体、电容耦合式等离子体、电子等离子体源、或远程等离子体)的离子;通过使衬底暴露于紫外光源(例如一或更多个灯);通过使衬底交替暴露于电子及离子,其可来自与电子束相同的电子源;以及通过沉积表面导电层于衬底上。可以各种顺序来执行操作109,例如图1中所描绘的顺序、在操作111之后、或在操作113之后且衬底自处理室中移除之前。
在操作111中,任选地对室进行清扫,以移除来自操作107的移除操作的过量活化气体和反应副产物。
在操作113中,任选地以循环方式重复进行操作103-111。在多种实施方案中,可以循环方式重复进行改性和移除操作,例如约1个循环至约200个循环、或约1个循环至约150个循环、或约1个循环至约70个循环、或约1个循环至约40个循环、或约1至约30个循环、或约1至约20个循环。可包含任何合适数量的ALE循环以蚀刻期望的膜量。在一些实施方案中,以循环方式执行ALE以蚀刻衬底上的层的表面的约
Figure BDA0002892171020000121
至约
Figure BDA0002892171020000122
在一些实施方案中,ALE循环蚀刻衬底上的层的表面的介于约
Figure BDA0002892171020000123
至约
Figure BDA0002892171020000124
之间。
图2描绘了电子激励ALE循环的示例性示意图。图200a-200e显示了电子激励ALE循环。在200a中,提供了衬底。在200b中,对衬底表面进行改性。在200c中,准备下一操作:该准备步骤可包含使第二处理气体流动或将室清扫。在200d中,使衬底暴露于电子源,该电子源向经改性的反应性表面提供能量,以使其能够从衬底解离,从而移除经改性的反应性表面或其一部分。在200e中,所期望的材料已被移除。
相似地,图202a-202e显示了用于从材料层蚀刻原子204的电子激励ALE循环的示例。在202a中,提供衬底,其包含多个原子,其中的一些原子被标识为项204。202a中的顶层可被视为材料的表面层206(示例性材料包含硅和碳);如202a中所见到的,材料的表面层206包含六个原子204,其中的两个原子被标识。在202b中,带有改性分子208的第一处理气体(实心黑圆,其中的一些以标识符208标识;示例性分子包含双原子氯及一氧化碳)被导入至衬底,该气体对衬底的表面层206进行改性。202b中的示意图显示了其中一些改性分子208被吸附于衬底的表面层206的原子204上、或与其进行反应,从而产生经改性的表面层210,经改性的表面层210包含经改性的分子212(在202b中的虚线椭圆内标识一个经改性的分子212;示例性分子包含二氧化碳和四氯化硅)。在202c中,在202b中产生经改性的分子212及经改性的表面层210之后,可任选地将第一处理气体自室中清扫掉。在此,在经改性的表面层210中可看到六个经改性的分子212,其中的两个被标识于虚线椭圆内,且改性分子208已被移除。在202d中,使衬底暴露于电子214,其向经改性的分子212提供能量,从而使经改性的分子212能够从衬底解离,并因此而从衬底移除。在202e中,已从衬底移除经改性的分子212(并因此移除经改性的表面层210)。虽然在图2中移除单层的材料,但应理解,可通过这种操作而移除材料的一或更多个表面层。
在移除操作期间使用电子源使得许多蚀刻特性能够调整。例如,可对蚀刻的深度及类型(例如各向同性、各向异性)、以及所蚀刻的区域进行调整。在一些实施方案中,蚀刻的深度可通过调整电子源的能量水平而改变。对于某些电子源,电子穿透进入衬底材料中的深度取决于电子源的能量水平。图3描绘了各种电子穿透至材料中的深度的图表。x轴为电子能量,而y轴为进入材料的电子范围或穿透深度,且可看出,随着电子能量增加,进入三种示例性材料(硅/二氧化硅、锗以及铜)的穿透深度都增加。因此,增加电子源能量使得电子的穿透深度增加,其进而使得接收使反应性物质解离所需能量的材料的深度增加,并因此使得可蚀刻的材料的深度增加。相似地,减少电子源能量使得穿透深度减少,并因此使所得的蚀刻深度减少。
如上所述,被蚀刻的材料是经改性的材料,其可以是表面层、以及表面层下方的层;例如,在可穿透至表面层下方的改性步骤期间,可通过使用等离子体、下游自由基以及中性气体以进行这些下面的层的改性。基于此,可以执行使用电子激励ALE进行的多层改性和蚀刻。图4描绘了另一电子激励ALE循环的示例性示意图。图400a-400e显示了另一电子激励ALE循环且相似于上文中的图200a-200e,但显示了多层材料的改性和移除。在400a中,提供衬底。在400b中,对衬底表面以及表面下方的两层材料进行改性。在400c中,准备下一操作;该准备步骤可包含使第二处理气体流动或将室清扫。在400d中,使衬底暴露于电子源,该电子源向经改性的反应性表面层以及两个下层提供能量,以使该三层能够从衬底解离,从而将其移除。在400e中,所期望的材料已被移除。
图402a-402e显示了用于从材料层蚀刻原子404的电子激励ALE循环的另一示例。在402a中,提供衬底,其包含多个原子,其中的一些原子被标识为项404。402a中的顶层可被视为材料的表面层406A,而表面层406A下方的两层被标识为层406B及406C。层406A及406C各自包含六个原子404,在层406A中的两个原子被标识,而层406B包含五个原子。在402b中,带有改性分子408的第一处理气体(实心黑圆,其中的一些以标识符408标识)被导入至衬底,该气体对衬底的表面层406A和两层406B及406C进行改性。402b中的示意图显示了其中一些改性分子408被吸附于衬底的膜层406A、406B及406C的原子404上或与其进行反应,从而产生三个经改性的层(全体标识为410),其包含经改性的分子412(在402b中的虚线椭圆内标识三个经改性的分子412)。
在402c中,在402b中产生经改性的分子412及经改性的层410之后,可任选地将第一处理气体从室中清扫掉。在此,在经改性的层410中可看到十七个经改性的分子412,其中的三个被标识于虚线椭圆内,且改性分子408已被移除。在402d中,使衬底暴露于具有促使电子414穿透至经改性的层410中的全部三个层的电子能量的电子源,以向经改性的分子412提供能量,并使该三个经改性的层410中的经改性的分子412能够从衬底移除。在402e中,已从衬底移除经改性的分子412(并因此移除经改性的层410)。
在一些实施方案中,电子激励ALE使得蚀刻类型能够进行调整。如上所述,蚀刻可以是各向异性的(即,定向的)、各向同性的(即,非定向的)、或部分各向异性的。调整电子源能量可对所执行的蚀刻的类型进行调整。例如,如果调整电子源能量以使电子穿透深度显著小于(例如,小于期望蚀刻尺寸的25%、15%、10%、1%、0.1%、或0.001%)期望的蚀刻尺寸(例如蚀刻的深度、宽度或以上两者),则可将蚀刻视为各向异性的。如果调整电子源能量以使电子穿透深度大于或实质上等于(例如,在期望蚀刻尺寸的增减10%或5%的范围内)期望蚀刻尺寸,则可将蚀刻视为各向同性的。如果调整电子源能量以使穿透深度是在这些范围之间,则可将蚀刻视为部分各向异性的。因此,可将电子源设定至足以导致各向异性蚀刻(例如,电子穿透深度显著小于(例如,小于期望蚀刻尺寸的25%、15%、10%、1%、0.1%、或0.001%)期望的蚀刻尺寸的能量水平、设定至足以导致各向同性蚀刻(例如,电子穿透深度大于或基本上等于(例如,在期望的蚀刻尺寸的增减10%或5%的范围内)期望的蚀刻尺寸的另一能量水平、以及设定至足以导致部分各向异性蚀刻(例如,电子穿透深度小于期望的蚀刻尺寸)的又一能量水平。
图5(其描绘了电子源穿透进入材料中)显示了该概念。在此,描绘具有蚀刻尺寸的材料层,该蚀刻尺寸被表示为材料的高度;还描绘了进入材料的两电子源的穿透图案。在图5的左侧,材料被暴露于1keV电子源,其穿透深度516A明显小于蚀刻尺寸,例如,所示的穿透深度516A为蚀刻尺寸(所示材料的深度)的约2.5%。因此,此1keV电子源导致各向异性蚀刻。图5的材料也被暴露于10keV电子源,其具有大于或等于图5中的蚀刻尺寸(例如,材料的高度)的穿透深度516B,因此导致材料的各向同性蚀刻。虽然该示例使用高度作为蚀刻尺寸,但蚀刻尺寸也可以是期望蚀刻的宽度。
电子穿透的另一示例利用图6A-6C说明,图6A-6C描绘了电子穿透进入带有凹槽的材料中。这些图中的y轴为垂直距离(以纳米(nm)为单位),x轴为水平距离(也以nm为单位),且阴影表示电子穿透进入材料;材料中的凹槽为20nm宽且1000nm高。在图6A中,材料被暴露于具有1keV的能量水平的电子源,且可看出,电子少量地(约5nm)穿透材料的顶表面及凹槽底部处的表面。在图6B中使电子源能量增加至10keV,而在图6C中增加至30keV,且可以看出,电子源比在1keV水平下更深地(例如在图6B中约275nm且在图6C中约500nm)穿透进入材料。在图6B和6C中还可看出,电子不仅可穿透材料的顶表面,还可穿透凹槽的侧壁及底表面。电子源的能量越大,则对材料的穿透程度越大。在一些实施方案中,可将图6A视为各向异性的。
如上所述,使用电子激励ALE,对电子源的调整使得能调整在衬底上所执行的蚀刻。这包含调整暴露于电子源的衬底的面积或部分,以及调整电子源能量、暴露于电子源的持续时间或以上两者。该可调性容许经改性表面的选择性移除。
例如,在一些实施方案中,可使衬底的一部分(而非整个衬底)暴露于电子源。图7描绘了又一电子激励ALE循环的示例性示意图。在此,图702a-702f显示了用于从材料层706蚀刻原子704的一部分的示例性电子激励ALE循环。图702a-702c与202a-202c相同,其中利用改性分子708对材料的表面层706进行改性,这些改性分子708与原子704进行反应、或吸附于原子704,而形成具有经改性的分子712的经改性的表面层710。在702d中,标识出衬底的第一部分718和第二部分720,而在702e中,第一部分718暴露于电子源,而第二部分720未暴露于电子714。第一部分718中的该暴露操作使经改性的表面层710的该第一部分718中的经改性的分子712能够从衬底解离、并因此从衬底移除,而第二部分720中的经改性的分子712保留在衬底上,如702f中可见的。随后的循环可继续对衬底的各个部分进行改性和蚀刻,包括对第一部分、第一及第二部分两者、以及其他部分进行改性和蚀刻,所述其他部分可包含第一部分的一部分或第二部分的一部分。对衬底的特定部分及区域的这种暴露操作使得能进行针对性的、选择性的蚀刻,以产生各种几何结构并避免蚀刻其他部分。
在一些其他实施方案中,可使衬底的不同部分暴露于不同的电子源能量水平和不同的电子源持续时间。图8描绘了不同的电子激励ALE循环的示例性示意图,且图802a-802h显示了用于从材料层蚀刻原子804的电子激励ALE循环的另一示例。图802a-802c与402a-402c相同;例如,利用改性分子808对顶表面层806A和两个其他层806B及806C中的原子804进行改性,以形成经改性的分子812并因此形成三个经改性的层810A、810B和810C,其被共同标识为810。
相似于上述的702d,在图802d中标识出衬底的第一部分818和第二部分820。在图802e中,相似于图702e,第一部分818暴露于电子源,而第二部分820未暴露于电子源,以致使经改性的分子812从第一部分818中的顶表面层810A移除,而不会从其他层810B及810C移除,如图802f中所示。在图802g中,第二部分820暴露于电子源,而第一部分818未暴露于电子源,以供应能量至所有的三个经改性的层810中的经改性的分子812,并使其能够从衬底移除。在图802f中,显示出图802e和802g中的蚀刻的结果;顶表面层810A中的经改性的分子812已从衬底的第一部分818移除,且三个经改性的层810中的经改性的分子812已从衬底的第二部分820移除。
在一些实施方案中,使第一部分818和第二部分820暴露于不同能量水平的电子源,以导致不同材料层的移除。例如,可使第一部分818暴露于在第一能量水平下的电子源,其使电子接触或穿透至材料的第一层810A,而不会穿透至第二层810B或第三层810C。这将用于解离经改性的分子812的输送能量仅限制在表面层810A。可使第二部分820暴露于在第二能量水平下的电子源,其使电子接触并穿透至所有的三个层810A-810C,以将解离经改性的分子812所需的能量输送至所有的三个层。第二能量水平可高于第一能量水平。
相似地,取代改变多个部分之间的电子源能量水平,而可调整能量源暴露的持续时间。例如,可使第一部分818暴露于电子源持续第一时段,其使电子接触或穿透至材料的第一层810A,而不会穿透至第二层810B或第三层810C。这再次将用于解离经改性的分子812的输送能量仅限制在表面层810A。可使第二部分820暴露于电子源持续第二时段,其使电子接触并穿透至所有的三个层810A-810C,以将解离经改性的分子812所需的能量输送至所有的三个层。电子源能量在这两个时段期间可以是相同的。
此外,在一些实施方案中,两个部分之间的能量水平与暴露持续时间两者都可不同,使得例如第一部分818暴露于在第一能量水平下的电子源持续第一时段,而第二部分820暴露于在第二能量水平下的电子源持续第二时段,从而在各个部分中蚀刻不同的程度。虽然本文讨论两个部分,但可使任何数量的部分暴露于不同能量水平下和/或不同持续时间的能量源;也可将蚀刻循环重复和交替进行,以执行这些暴露操作的组合,例如,一个循环使衬底的整个表面暴露于电子源,接着进行另一循环,其使一部分暴露于能量源,并且接着进行另一循环,其使该一部分暴露于在一能量水平下的该能量源、且使第二部分暴露于在不同能量水平下的该能量源。
在一些实施方案中,在改性操作之后,可使第二处理气体流至衬底上,以将经改性的表面层转化为经转化层,且移除操作涉及电子激励和材料的经转化层的移除。图9描绘了根据公开实施方案的用于执行操作的第二示例性工艺流程图。图9的流程图与图1的流程图相似,在此讨论明显差异。例如,操作901、903以及909-913分别与上述的操作101、103以及109-113相同。然而,在操作905中,使带有转化分子的第二处理气体流至衬底上。这些转化分子被配置成与经改性的分子进行反应,并在衬底上的材料的经转化层中产生经转化的分子。这些经转化的分子是挥发性分子,一旦电子源提供能源至经转化的分子以促成解离,即可将其从衬底解离、并因此从衬底移除,如操作907所示;该操作与操作107相似,但在此,使经转化层(而非经改性层)暴露于电子源以将经转化的分子解离和移除。在一些这样的实施方案中,经改性的分子可能无法通过电子激励而移除,或者可能不期望通过电子激励而移除经改性的分子。例如,在操作903之前的衬底表面可具有氧化铝(A12O3),且在操作903中使衬底暴露于含有等离子体的改性氟分子,该等离子体将表面改性成氟化铝(A1F3)。在操作905中,第二处理气体包含转化分子二甲基氯化铝(A1(CH3)2C1;DMAC),其将经改性的氟化铝层转化为经转化、具挥发性的二甲基氟化铝(Al2Me4F2)层,其在操作907中被暴露于电子源并移除。虽然包含一个清扫操作911,但可任选地进行额外的清扫操作,例如在操作903与905之间以及操作905与907之间进行额外的清扫操作。可通过执行操作903-911而表示一个循环,且可重复进行这些操作直到执行期望数量的循环为止。
图10描绘了另一电子激励ALE循环(如图9中所示的)的示例性示意图。相似于图2、4、7及8,图1002a-1002f显示了用于蚀刻的另一电子激励ALE循环的示例。在1002a中,提供衬底,其包含第一原子1022(阴影)和第二原子1024,每一者中的三个在衬底的表面层1006中。如同202b和202c中,例如,图1002b和1002c导入改性分子1008(其可在第一处理气体中),其与第一原子1022反应、或由第一原子1022所吸附,而形成经改性的分子1012。在一些实施方案中,改性分子1008不会与第二原子1024反应、也不会由第二原子1024所吸附。在1002c中,在形成经改性的分子1012之后,可任选地将改性分子1008从室清扫掉。在1002d中,使包含转化分子1025的第二处理气体流至衬底上;这些转化分子1025与经改性的分子1012反应、或由经改性的分子1012所吸附,以形成具挥发性的经转化的分子1026(其显示为菱形、阴影圆形以及实心圆形的组合,其中的一个被标识在标记为1026的虚线椭圆内)。在1000e中,使经转化的分子1026暴露于电子1014,其为经转化的分子1026提供能量,以使其能够从衬底解离,并因此移除;这等同于衬底的蚀刻。在1002f中,将室清扫并去除副产物。由于选择改性及转化气体以与第一原子(而非第二原子)反应并将其从衬底上的材料层移除,因此该示例造成从衬底对第一原子的选择性蚀刻。
使用第二处理气体以将经改性层转化为经转化层并移除经转化层有许多优点。例如,有些经改性的分子的某些移除步骤在暴露于电子源时可能并非自限性的,其可能导致比期望更多的蚀刻。此外,经转化层的移除可在更有利的能量水平(例如比经改性层的能量水平更低的能量水平)下进行,从而减少暴露时间。
如上所述,常规ALE技术可能限制于在溅射方面“硬质”的特定材料,因为例如同时包含硬质和软质材料(例如表面结合能低于4.5eV的材料)的衬底表面在常规ALE期间易于溅射软质材料。然而,使用本文所述实施方案,可对同时具有硬质和软质材料的衬底进行蚀刻,因为使衬底暴露于电子源不会导致软质材料的不期望有的溅射。
电子激励ALE设备
现将说明能够执行上述电子激励ALE操作及技术的设备的各种实施方案。图11描绘了根据公开实施方案的用于半导体处理的设备的示例性截面图;该设备1130包含处理室1132、处理气体单元1134、电子源1136、晶片支撑件1138以及控制器1140。处理室1132具有室壁1142,其至少部分地限定和界定室内部1139(其可被视为充气部容积)。室壁1142可由不绣钢或铝制成。
晶片支撑件1138被安置于室内部1139内靠近底部的内表面处。晶片支撑件1138被配置成将半导体晶片1148(蚀刻及沉积处理在其上执行)定位于室内部1139中,包括接收和保持半导体晶片1148。晶片支撑件1138可以是用于支持晶片1148(当其存在时)的静电卡盘。在一些实施方案中,边缘环(未图示)环绕晶片支撑件1138,且具有与晶片1148上表面(当存在于晶片支撑件1138上方时)大致成为平面的上表面。晶片支撑件1138也可包含静电电极,用于夹持与去夹持(dechucking)晶片。可为此用途而提供滤波器和DC夹持电源(未图示)。也可提供用于将晶片1148抬升离开晶片支撑件1138的其他控制系统。还可利用RF电源1150以使晶片支撑件1138电气带电。RF电源1150被连接至匹配电路1152。可将偏置功率输送至晶片支撑件1138以使衬底1148偏置。在多种实施方案中,可将偏置功率设定为以下数值:介于0V(无偏置)至约2000V之间、或介于0V至约1800V之间、或介于0V至约1500V之间、或介于500V至约1500V之间。匹配电路1152被连接至卡盘晶片支撑件1138,且以该方式,RF电源1150被连接至卡盘晶片支撑件1138。
处理气体单元1134被配置成使处理气体(其可包含液体和/或气体,例如反应物、改性分子、转化分子、或移除分子)流至室内部1139中的衬底1148上。处理气体单元1134包含一或更多入口(例如在室顶部上的入口(未图示)、或诸如入口1160之类的侧气流入口),处理气体通过该一或更多入口而流入室内部1139中。处理气体单元1134可包含混合容器,其用于混合和/或调节处理气体以输送至室内部1139。一或更多个混合容器入口阀可控制将处理气体导入至混合容器。
处理气体单元1134可包含第一处理气体源1162、第一处理液体源1164、可使第一液体汽化为气体的汽化点(未图示)以及载气源1166。有些反应物可在汽化之前及输送至处理室1132之后以液体形式储存。第一处理气体可包含氧化气体、卤化气体、臭氧、羟基化气体、或配置以如上述地对衬底1148上的材料的一或多层进行改性的另一气体。在一些实施方案中,汽化点可以是加热的液体注入模块。在一些其他实施方案中,汽化点可以是加热的汽化器。在其他实施方案中,可从处理站去除汽化点。在一些实施方案中,可设置在汽化点上游的液流控制器(LFC),以控制用于汽化及输送至室内部1139的液体的质量流量。载气源1166包含可与处理气体一同流动的一或更多载送气体或液体;其可以是例如N2、Ar、Ne、He之类的惰性气体。
设备1130还包含电子源1136,其被配置成将电子暴露至定位于晶片支撑件1138上的衬底1148。如上所述,电子源1136可以是宽束或大面积源,其被配置成使整个衬底1148表面一起(即,同时)暴露于电子。有些实施方案可以是可由六硼化镧形成的热离子源,或者电子源可以是场电子发射源,例如经加热的二氧化钨锆(W/ZrO2)。在一些其他实施方案中,电子源1136可以是扫描衬底1148的多个部分或整个衬底的电子束,且可使用定形波束(shaped beams)以使波束聚焦在衬底1148的一或更多部分上并扫描衬底1148的多个部分,例如向量扫描。在一些实施方案中,电子源可以是电子等离子体源。在设备1130中还可包含隔离阀(或闸门)1137。隔离阀被设置在室1132与电子源1136之间,并且隔离阀被配置成使电子能够进入室内部1139、避免电子进入室内部1139、以及避免来自室内部1139的气体和粒子行进至电子源1136。
设备1130还可包含被配置成在室内部1139中产生真空的真空泵1168(包括一或二级机械干式泵和/或涡轮分子泵),其可用于将处理气体从处理室内部1139抽出,并维持处理室1132内的压强。例如,泵1168可用于在ALE的清扫操作期间将室内部1139抽空。以阀控制的管道可用于将真空泵流体连接至处理室1132,以选择性地控制由真空泵1168所提供的真空环境的应用。这可通过在工作等离子体处理期间采用闭回路控制的限流装置以完成,所述限流装置例如节流阀(未图示)或摆阀(未图示)。设备1130被配置成在隔离阀1137是开启且衬底1148暴露于来自电子源1136的电子束时将室内部1139维持在真空。
如上所述,设备可包含等离子体产生器,以在室内部1139中使用或产生等离子体,例如电容耦合式等离子体(CCP)、电感耦合式等离子体(ICP)以及远程等离子体。图11的设备1130包含具有ICP特征(例如定位于室1132上方的线圈1154)的等离子体产生器。线圈1154由导电材料制造,且包含至少一整圈。显示于图11的线圈1154的示例包含三圈。线圈1154的截面以符号显示,且具有“X”的线圈旋转伸入页面,而具有“·”的线圈旋转伸出页面。用于等离子体产生的组件也包含被配置成将RF功率供应至线圈1154的RF电源1156。一般而言,RF电源1156被连接至匹配电路1158,且匹配电路1158被连接至线圈1154。以此方式,RF电源1156被连接至线圈1154。可将RF电源1156配置成:在改性操作期间利用介于1%至约20%之间的占空比在10Hz至200Hz之间的频率下进行脉冲、和/或在ALE循环的移除操作期间利用介于1%至约20%之间的占空比在10Hz至200Hz之间的频率下进行脉冲。在图11中未将等离子体产生器标识为单一组件,但其包含线圈1154、RF电源1156、且任选地包含匹配电路1158。
虽然未图示出,但可将选用性的法拉第屏蔽件定位于线圈1154与室1132之间。可使法拉第屏蔽件相对于线圈1154而维持相隔开的关系。可将法拉第屏蔽件设置在室1132的正上方。线圈1154、法拉第屏蔽件以及室1132的上壁可各自配置为实质上彼此平行。法拉第屏蔽件可避免金属或其他物质沉积于室1132上。
任选的内部等离子体栅1146可将整个处理室1139分成上子室1139A和下子室1139B。在大多实施方案中,可将等离子体栅1146移除,从而利用由子室1139A和1139B所构成的室空间。
射频功率从RF电源1156供应至线圈1154,以致使RF电流流过线圈1154。流过线圈1154的RF电流在线圈1154周围产生电磁场。电磁场在上子室1139A内产生感应电流。所产生的各种离子及自由基与晶片1148的物理和化学交互作用可选择性地对晶片1148的层进行改性。如果使用等离子体栅1146以使得存在上子室1139A和下子室1139B两者,则感应电流作用于存在上子室1139A中的气体上,以在上子室1139A中产生电子-离子等离子体。任选的内部等离子体栅1146限制下子室1139B中的热电子数量。在一些实施方案中,设计并操作设备1130以使存在于下子室1139B中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体与下部的离子-离子等离子体两者都可以含有正和负离子,然而离子-离子等离子体会有较大的负离子比正离子的比率。可通过端口1170将挥发性蚀刻和/或沉积副产物自下子室1139B移除。本文所公开的晶片支撑件1138可在介于约-200℃至约600℃之间、或介于约-20℃至约250℃之间的温度范围内操作,晶片支撑件1138可被设定于低于约0℃的温度。温度会取决于处理操作及特定配方和所使用的工具。
当于清洁室或制造设施中安装室1132时,可将其耦合至设施(未图示)。设施包含提供处理气体、真空、温度控制、以及环境粒子控制的管路。当这些设施被装设于目标制造设施中时,它们被耦合至室1132。此外,可将室1132耦合至传送室,该传送室使得机械臂能利用典型自动化系统将半导体晶片传送进出室1132。
系统控制器1140(其可包含一或更多个实体或逻辑控制器)控制处理室的一些或所有的操作。系统控制器1140可包含一或更多存储器装置1172和一或更多个处理器1174。在一些实施方案中,设备包含用于在执行公开实施方案时控制流动速率及持续时间的切换系统。在一些实施方案中,设备可具有至多约500ms、或至多约750ms的切换时间。切换时间可取决于流动化学物质、所选的配方、反应器架构、以及其他因素。
在一些实施方案中,控制器1140为系统或设备1130的一部分,该系统或设备1130可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片衬底支撑件、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,控制器1140可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器1140可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。在一些实施方案中,控制器1140可用于确定ALE的改性操作的温度窗、或确定ALE的移除操作的处理条件窗、或确定这两者。
在一些实现方式中,控制器1140可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器1140可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器1140可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
在一些实施方案中,控制器1140包含被配置成执行上述的一些或所有技术的指令。例如,这些指令可被配置成:致使处理气体单元1134使第一处理气体流至室内部1139中的衬底1148且第一处理气体被配置成对衬底1148上的材料的一或多层进行改性;使电子源1136产生电子,从而在没有使用等离子体的情况下使衬底1148上的经改性的一或更多个表面层暴露于电子源;以及控制真空泵1168以在室内部1139中产生真空以及将气体从室内部1139清扫掉。这也可包含控制器使闸门阀1137在改性操作期间关闭且在移除操作期间开启。
控制器1140还可被配置成使等离子体产生器于例如上述改性操作期间在室内部1139中产生等离子体、以及在暴露于电子源之后使衬底的电荷减少或中和。等离子体产生器可包含线圈1154、匹配电路1158以及RF电源1156。在一些其他实施方案中,等离子体产生器为CCP或远程等离子体源。图12描绘了根据公开的实施方案的用于半导体处理的另一设备的示例性截面图。在此,设备1230与图11中的设备相同,但线圈被移除且显示两种其他类型的等离子体产生器。一种类型为CCP等离子体产生器,其中RF电源1256被连接至匹配电路1258,匹配电路1258直接连接至室1132本身,其利用经供电的电极与接地电极之间的电容耦合而产生等离子体;经供电的电极(其可与等离子体RF电源1256连接)可对应于室内部中的RF电极。接地电极可对应于衬底支撑件1138。第二种类型为远程等离子体源1276,其连接至室1132,且被配置成使自由基流至室内部1139中。可将电极配置以产生在13.56MHz范围、27MHz范围下、或更概括地介于50Khz与60MHz之间的RF能量。在一些实施方案中,可设置多个电极,其各自被配置成产生特定频率范围的RF能量。
在一些实施方案中,该设备可包含电荷中和单元,其被配置成减少或移除衬底上的电荷。电荷中和单元可包含本文所述的等离子体产生器、本文所述的电子源、以及图11中所示的紫外光源1178。控制器1140可包含指令以:致使等离子体在室内部1139中产生,其减少或消除衬底1148上的电荷;致使电子源1136替代性地使衬底1148暴露于电子及离子,其减少或消除衬底1148上的电荷;以及致使紫外光源1178产生紫外光,其减少或消除衬底1148上的电荷。该紫外光可具有介于约50nm至约250nm之间的波长。
利用本文所述技术及设备以执行电子激励ALE提供许多优点。例如,这些技术以及设备允许对深接触部或沟槽内的材料层进行各向同性蚀刻,而常规ALE在这些区域内被限制为各向异性蚀刻;也可在不造成离子损害的情况下蚀刻这些区域。此外,这些技术和设备促成具有高溅射产率的材料(例如软质材料)的ALE而不会致使这些软质材料溅射。如上所述,可在各向同性、各向异性、及部分各向异性蚀刻之间调整蚀刻的性质,且对电子源能量、对暴露于电子源的衬底上的面积以及对暴露的持续时间的调整促成针对性的、选择性的蚀刻,以形成各种几何结构。这些技术和设备还减少或消除掩模的刻面(faceting)和侵蚀作用,从而提供较佳的关键深度控制。
虽然已针对所示的实施方案而特定地描述本文所公开的主题,但应理解,可基于本公开内容而进行各种变化、修改以及调整,且其应是在本发明的范畴内。应理解,本文实施方式并不受限于所公开的实施方案,而是相对地意图涵盖包含在权利要求的范围内的各种修改及等同配置。

Claims (28)

1.一种处理衬底的方法,该方法包含:
对所述衬底上的材料的一或更多个表面层进行改性;以及
使所述衬底上的经改性的所述一或更多个表面层暴露于电子源,从而在没有使用等离子体的情况下移除所述衬底上的经改性的所述一或更多个表面层。
2.根据权利要求1所述的方法,其中所述暴露还包含使所述衬底上的经改性的所述一或更多个表面层中的全部同时暴露于所述电子源。
3.根据权利要求1所述的方法,其中所述暴露还包含使经改性的所述一或更多个表面层的第一部分暴露于所述电子源。
4.根据权利要求3所述的方法,其中,仅使经改性的所述一或更多个表面层的所述第一部分暴露于所述电子源,而不使经改性的所述一或更多个表面层的第二部分暴露于所述电子源。
5.根据权利要求3所述的方法,其中所述暴露还包含:在所述第一部分的所述暴露后,使经改性的所述一或更多个表面层的第二部分暴露于所述电子源。
6.根据权利要求5所述的方法,其中所述暴露还包含:
使经改性的所述一或更多个表面层的所述第一部分暴露于在第一波束能量水平下的所述电子源,以及
使经改性的所述一或更多个表面层的所述第二部分暴露于在第二波束能量水平下的所述电子源。
7.根据权利要求5所述的方法,其中所述暴露还包含:
使经改性的所述一或更多个表面层的所述第一部分暴露于所述电子源达第一时段,以及
使经改性的所述一或更多个表面层的所述第二部分暴露于所述电子源持续第二时段。
8.根据权利要求1-7中的任一项所述的方法,其还包含:
在所述暴露之后,将所述衬底上的电荷中和。
9.根据权利要求1-7中的任一项所述的方法,其中所述暴露还包含:使所述衬底上的经改性的所述一或更多个表面层暴露于在足以使经改性的所述一或更多个表面层从所述衬底各向异性地移除的波束能量水平下的所述电子源。
10.根据权利要求1-7中的任一项所述的方法,其中所述暴露还包含:使所述衬底上的经改性的所述一或更多个表面层暴露于在足以使经改性的所述一或更多个表面层从所述衬底各向同性地移除的波束能量水平下的所述电子源。
11.根据权利要求1-7中的任一项所述的方法,其中所述暴露还包含:使所述衬底上的经改性的所述一或更多个表面层暴露于在足以使经改性的所述一或更多个表面层从所述衬底部分地各向异性移除的波束能量水平下的所述电子源。
12.根据权利要求1-7中的任一项所述的方法,其还包含:
在所述改性步骤之前或期间,使第一处理气体流至所述衬底上,其中所述第一处理气体被配置成对所述衬底上的材料的所述一或更多个表面层进行改性。
13.根据权利要求1-7中的任一项所述的方法,其中所述改性还包含:使所述衬底上的材料的所述一或更多个表面层暴露于等离子体。
14.根据权利要求1-7中的任一项所述的方法,其还包含:在所述衬底保持在处理室中时,重复进行下列步骤:对所述衬底上的材料的一或更多个表面层进行改性;以及使所述衬底上的经改性的所述一或更多个表面层暴露于所述电子源。
15.根据权利要求14所述的方法,其还包含:
在改性与暴露操作之间清扫所述处理室。
16.根据权利要求1-7中的任一项所述的方法,其中所述材料具有低于约4.5电子伏特(eV)的表面结合能。
17.根据权利要求16所述的方法,其中所述材料包含下述项中的一或多者:铜、铝、锗、金和银。
18.根据权利要求1-7中的任一项所述的方法,其中在所述改性与所述暴露期间的衬底温度是基本相同的。
19.一种处理衬底的方法,所述方法包含:
对所述衬底上的材料的一或更多个表面层进行改性;
在所述改性后,将所述衬底上的经改性的所述一或更多个表面层转化为一或更多经转化层;以及
使所述衬底上的所述一或更多经转化层暴露于电子源,从而在没有使用等离子体的情况下移除所述衬底上的所述一或更多经转化层。
20.根据权利要求19所述的方法,其中所述转化还包含使第二处理气体流至所述衬底上,其中所述第二处理气体被配置成将所述衬底上的经改性的所述一或更多个表面层转化为所述一或更多经转化层。
21.根据权利要求19所述的方法,其中所述改性还包含:使所述衬底上的材料的所述一或更多个表面层暴露于等离子体。
22.根据权利要求19所述的方法,其中所述转化还包含:使所述衬底上的材料的所述一或更多个表面层暴露于等离子体。
23.一种用于半导体处理的设备,所述设备包含:
处理室,其包含至少部分界定室内部的室壁;
晶片支撑件,其用于将衬底定位于所述室内部中;
处理气体单元,其被配置成使第一处理气体流入所述室内部中并且流至所述室内部中的所述衬底上;
电子源,其被配置成将电子暴露于定位在所述晶片支撑件上的所述衬底;以及
控制器,其中所述控制器包含指令,所述指令被配置成:
致使所述处理气体单元使所述第一处理气体流至所述室内部中的所述衬底,其中所述第一处理气体被配置成对所述衬底上的材料的一或更多个表面层进行改性,以及
致使所述电子源产生所述电子,从而使所述衬底上的经改性的所述一或更多个表面层暴露于所述电子,其中在没有使用等离子体的情况下将经改性的所述一或更多个表面层移除。
24.根据权利要求23所述的设备,其还包含真空单元,所述真空单元被配置成抽排所述室内部的气体,其中所述控制器还包含指令,所述指令被配置成:
致使所述真空单元在所述室内部中产生真空并且将气体从所述室内部清扫掉。
25.根据权利要求23所述的设备,其还包含电荷中和单元,所述电荷中和单元被配置成将所述衬底的电荷中和,其中所述控制器还包含指令,所述指令被配置成:
致使所述电荷中和单元将所述衬底的电荷中和。
26.根据权利要求25所述的设备,其中所述电荷中和单元为下述项中的至少一者:等离子体源、紫外光源和所述电子源。
27.根据权利要求23所述的设备,其还包含等离子体产生器,所述等离子体产生器被配置成在所述室内部中产生等离子体,其中:
所述等离子体产生器为下述项中的一者:电容耦合式等离子体、电感耦合式等离子体、上部远程等离子体和下部远程等离子体,并且
所述控制器还包含被配置成致使所述等离子体产生器在所述室内部中产生所述等离子体的指令。
28.根据权利要求23所述的设备,其还包含隔离阀或闸门,所述隔离阀或闸门设置在所述室内部与所述电子源之间,其中所述隔离阀或闸门被配置成使所述电子能够到达所述室内部。
CN201980046595.5A 2018-07-09 2019-07-03 电子激励原子层蚀刻 Pending CN112424914A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862695419P 2018-07-09 2018-07-09
US62/695,419 2018-07-09
PCT/US2019/040490 WO2020014065A1 (en) 2018-07-09 2019-07-03 Electron excitation atomic layer etch

Publications (1)

Publication Number Publication Date
CN112424914A true CN112424914A (zh) 2021-02-26

Family

ID=69142936

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980046595.5A Pending CN112424914A (zh) 2018-07-09 2019-07-03 电子激励原子层蚀刻

Country Status (7)

Country Link
US (2) US11637022B2 (zh)
EP (1) EP3821457A4 (zh)
JP (1) JP7461923B2 (zh)
KR (1) KR20210019121A (zh)
CN (1) CN112424914A (zh)
TW (1) TW202018807A (zh)
WO (1) WO2020014065A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7174016B2 (ja) * 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2023540034A (ja) * 2020-09-03 2023-09-21 ラム リサーチ コーポレーション 誘電体に対する選択性を有した半導体、金属、または金属酸化物の原子層エッチング
WO2023183129A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation Fast atomic layer etch

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05190517A (ja) * 1992-01-17 1993-07-30 Nec Corp 微細パターン形成方法
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
CN107146755A (zh) * 2016-03-01 2017-09-08 朗姆研究公司 使用等离子体和蒸气处理的组合对al2o3进行原子层蚀刻

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US4313782A (en) 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4414069A (en) 1982-06-30 1983-11-08 International Business Machines Corporation Negative ion beam selective etching process
US4695327A (en) 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
FR2617333B1 (fr) 1987-06-25 1990-01-05 Straboni Alain Procede d'elimination de zones de nitrure ou d'oxynitrure de silicium
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
JPH06295889A (ja) * 1990-12-13 1994-10-21 Nec Corp 微細パターン形成方法
JPH04223329A (ja) * 1990-12-25 1992-08-13 Nec Corp 微細パタ−ン形成方法および形成装置
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
US5271800A (en) * 1991-07-12 1993-12-21 The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration Method for anisotropic etching in the manufacture of semiconductor devices
JPH0529266A (ja) * 1991-07-17 1993-02-05 Nec Corp 電子ビーム励起ドライエツチング方法および装置
JPH0590230A (ja) * 1991-09-30 1993-04-09 Nec Corp ドライエツチング方法
US5268069A (en) 1991-10-28 1993-12-07 International Business Machines Corporation Safe method for etching silicon dioxide
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
JP3005373B2 (ja) 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5922624A (en) 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
US5792275A (en) 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JPH09102490A (ja) 1995-07-31 1997-04-15 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
US5880032A (en) 1995-07-31 1999-03-09 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing a semiconductor device
US5685951A (en) 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
JPH10154712A (ja) 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5858830A (en) 1997-06-12 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making dual isolation regions for logic and embedded memory devices
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6204198B1 (en) 1998-11-24 2001-03-20 Texas Instruments Incorporated Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6265302B1 (en) 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6803309B2 (en) 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US6817776B2 (en) 2002-11-19 2004-11-16 International Business Machines Corporation Method of bonding optical fibers and optical fiber assembly
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6992011B2 (en) 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
KR101159337B1 (ko) * 2003-01-16 2012-06-22 에프이아이 컴파니 마스크 수정을 위한 전자 빔 프로세싱
WO2004073850A1 (en) 2003-02-14 2004-09-02 Tokyo Electron Limited Gas feeding apparatus
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
KR100498494B1 (ko) 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6716691B1 (en) 2003-06-25 2004-04-06 Sharp Laboratories Of America, Inc. Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100512939B1 (ko) 2003-07-10 2005-09-07 삼성전자주식회사 트렌치 소자분리 방법
KR100583637B1 (ko) 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US20050070120A1 (en) 2003-08-28 2005-03-31 International Sematech Methods and devices for an insulated dielectric interface between high-k material and silicon
US6967167B2 (en) 2003-09-30 2005-11-22 International Business Machines Corporation Silicon dioxide removing method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR20050110751A (ko) 2004-05-19 2005-11-23 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100599437B1 (ko) 2004-06-30 2006-07-12 주식회사 하이닉스반도체 반도체소자의 소자분리 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
WO2008088300A2 (en) 2005-03-08 2008-07-24 Primaxx, Inc. Selective etching of oxides from substrates
JP2006261451A (ja) 2005-03-17 2006-09-28 Sony Corp エッチング方法
KR20080014799A (ko) 2005-04-28 2008-02-14 가부시키가이샤 피즈케믹스 에칭방법, 저유전율 유전체막의 제조방법, 다공성 부재의제조방법 및 에칭장치 및 박막 제작장치
US8404594B2 (en) * 2005-05-27 2013-03-26 Freescale Semiconductor, Inc. Reverse ALD
KR100746223B1 (ko) 2005-09-09 2007-08-03 삼성전자주식회사 반도체소자의 트렌치 소자분리 방법
US20070063277A1 (en) 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP2007258266A (ja) 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US7718080B2 (en) * 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
JP2008210909A (ja) 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090181553A1 (en) 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
CN103117216B (zh) 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US11565936B2 (en) 2016-05-25 2023-01-31 The Regents Of The University Of Colorado Atomic layer etching on microdevices and nanodevices
US20170345665A1 (en) 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
JP6936700B2 (ja) 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05190517A (ja) * 1992-01-17 1993-07-30 Nec Corp 微細パターン形成方法
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
CN107146755A (zh) * 2016-03-01 2017-09-08 朗姆研究公司 使用等离子体和蒸气处理的组合对al2o3进行原子层蚀刻

Also Published As

Publication number Publication date
US20210280433A1 (en) 2021-09-09
EP3821457A1 (en) 2021-05-19
JP2021532573A (ja) 2021-11-25
US11637022B2 (en) 2023-04-25
WO2020014065A1 (en) 2020-01-16
US20230298904A1 (en) 2023-09-21
TW202018807A (zh) 2020-05-16
EP3821457A4 (en) 2022-04-13
KR20210019121A (ko) 2021-02-19
JP7461923B2 (ja) 2024-04-04

Similar Documents

Publication Publication Date Title
EP3692567B1 (en) High energy atomic layer etching
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
TWI832325B (zh) 設計者原子層蝕刻
US9991128B2 (en) Atomic layer etching in continuous plasma
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
US9093390B2 (en) Conformal oxide dry etch
US8748322B1 (en) Silicon oxide recess etch
CN112424914A (zh) 电子激励原子层蚀刻
CN111373512A (zh) 使用ale蚀刻金属氧化物衬底以及选择性沉积
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination