KR20210019121A - 전자 여기 원자 층 에칭 - Google Patents

전자 여기 원자 층 에칭 Download PDF

Info

Publication number
KR20210019121A
KR20210019121A KR1020217003963A KR20217003963A KR20210019121A KR 20210019121 A KR20210019121 A KR 20210019121A KR 1020217003963 A KR1020217003963 A KR 1020217003963A KR 20217003963 A KR20217003963 A KR 20217003963A KR 20210019121 A KR20210019121 A KR 20210019121A
Authority
KR
South Korea
Prior art keywords
substrate
surface layers
chamber
electron source
exposing
Prior art date
Application number
KR1020217003963A
Other languages
English (en)
Inventor
이반 엘. 베리 3세
토르스텐 릴
안드레아스 피셔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210019121A publication Critical patent/KR20210019121A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

원자 층 에칭을 수행하기 위한 장치들 및 방법들이 개시된다. 방법이 기판 상의 재료의 하나 이상의 표면 층들을 개질하는 단계 및 기판 상의 하나 이상의 개질된 표면 층들을 전자 소스에 노출시킴으로써, 플라즈마를 사용하지 않고 기판 상의 하나 이상의 개질된 표면 층들을 제거하는 단계를 포함할 수도 있다. 장치가 프로세싱 챔버, 프로세스 가스 유닛, 전자 소스, 및 프로세스 가스 유닛으로 하여금 챔버 내부의 기판에 제 1 프로세스 가스를 흘리게 하고―제 1 프로세스 가스는 기판 상의 재료의 하나 이상의 층들을 개질하도록 구성됨―, 그리고 전자 소스로 하여금 전자들을 생성하고 기판 상의 하나 이상의 개질된 표면 층들을 전자들에 노출시키게 하도록―하나 이상의 개질된 표면 층들은 플라즈마를 사용하지 않고 제거됨―구성된 인스트럭션들을 갖는 제어기를 포함할 수도 있다.

Description

전자 여기 원자 층 에칭
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다.  본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
반도체 제조는 종종 패터닝 스킴들 및 기판의 다른 노출된 표면들의 에칭을 방지하도록 일부 재료들이 선택적으로 에칭되는 다른 프로세스들을 수반한다. 디바이스 기하 구조들이 점점 더 작아짐에 따라, 플라즈마 보조 없이 목표된 재료들의 효과적인 에칭을 달성하기 위해 고 에칭 선택도 프로세스들이 바람직하다.
일부 실시 예들에서 기판을 프로세싱하는 방법이 제공된다. 방법은 기판 상의 재료의 하나 이상의 표면 층들을 개질하는 단계 및 기판 상의 하나 이상의 개질된 표면 층들을 전자 소스에 노출시킴으로써, 플라즈마를 사용하지 않고 기판 상의 하나 이상의 개질된 표면 층들을 제거하는 단계를 포함할 수도 있다.
일부 실시 예들에서, 노출하는 단계는 기판 상의 하나 이상의 개질된 표면 층들 모두를 전자 소스에 동시에 노출하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 노출하는 단계는 하나 이상의 개질된 표면 층들의 제 1 섹션을 전자 소스에 노출하는 단계를 더 포함할 수도 있다.
일부 이러한 실시 예들에서, 하나 이상의 개질된 표면 층들의 제 2 섹션이 전자 소스에 노출되지 않을 수도 있는 동안 하나 이상의 개질된 표면 층들의 제 1 섹션만이 전자 소스에 노출될 수도 있다.
일부 이러한 실시 예들에서, 노출하는 단계는 제 1 섹션의 노출 단계 후에 하나 이상의 개질된 표면 층들의 제 2 섹션을 전자 소스에 노출하는 단계를 더 포함할 수도 있다.
일부 추가 실시 예들에서, 노출하는 단계는 하나 이상의 개질된 표면 층들의 제 1 섹션을 제 1 빔 에너지 레벨의 전자 소스에 노출하는 단계, 및 하나 이상의 개질된 표면 층들의 제 2 섹션을 제 2 빔 에너지 레벨의 전자 소스에 노출시키는 단계를 더 포함할 수도 있다.
일부 추가 실시 예들에서, 노출하는 단계는 제 1 시간 기간 동안 하나 이상의 개질된 표면 층들의 제 1 섹션을 전자 소스에 노출시키는 단계, 및 제 2 시간 기간 동안 하나 이상의 개질된 표면 층들의 제 2 섹션을 전자 소스에 노출시키는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 방법은 노출하는 단계 후, 기판 상의 전하를 중성화하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 노출하는 단계는 기판으로부터 하나 이상의 개질된 표면 층들의 이방성 제거를 유발하기에 충분한 빔 에너지 레벨의 전자 소스에 기판 상의 하나 이상의 개질된 표면 층들을 노출하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 노출하는 단계는 기판으로부터 하나 이상의 개질된 표면 층들의 등방성 제거를 유발하기에 충분한 빔 에너지 레벨의 전자 소스에 기판 상의 하나 이상의 개질된 표면 층들을 노출하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 노출하는 단계는 기판으로부터 하나 이상의 개질된 표면 층들의 부분적인 이방성 제거를 유발하기에 충분한 빔 에너지 레벨의 전자 소스에 기판 상의 하나 이상의 개질된 표면 층들을 노출하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 방법은 개질하는 단계 전 또는 개질하는 단계 동안, 기판 상에 제 1 프로세스 가스를 흘리는 단계를 더 포함할 수도 있고, 제 1 프로세스 가스는 기판 상의 재료의 하나 이상의 표면 층들을 개질하도록 구성된다.
일부 실시 예들에서, 개질하는 단계는 기판 상의 재료의 하나 이상의 표면 층들을 플라즈마에 노출하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 방법은 기판이 프로세싱 챔버 내에 남아있는 동안, 기판 상의 재료의 하나 이상의 표면 층들을 개질하는 단계 및 기판 상의 하나 이상의 개질된 표면 층들을 전자 소스에 노출하는 단계를 반복하는 단계를 더 포함할 수도 있다.
일부 이러한 실시 예들에서, 방법은 개질하는 단계와 노출하는 단계 사이에 프로세싱 챔버를 퍼지하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 재료는 약 4.5 eV 미만의 표면 결합 에너지를 가질 수도 있다.
일부 이러한 실시 예들에서, 재료는 구리, 알루미늄, 게르마늄, 금, 또는 은일 수도 있다.
일부 이러한 실시 예들에서, 개질하는 단계 및 노출하는 단계 동안 기판 온도는 실질적으로 동일하다.
일부 실시 예들에서, 기판을 프로세싱하는 방법이 제공될 수도 있다. 방법은 개질하는 단계 후, 기판 상의 재료의 하나 이상의 개질된 표면 층들을 하나 이상의 변환된 층들로 개질하는 단계, 및 기판 상의 하나 이상의 변환된 층들을 전자 소스에 노출키는 단계에 의해, 플라즈마를 사용하지 않고 기판 상의 하나 이상의 변환된 표면 층들을 제거하는 단계를 포함할 수도 있다.
일부 실시 예들에서, 변환하는 단계는 기판 상에 제 2 프로세스 가스를 흘리는 단계를 더 포함할 수도 있고, 제 2 프로세스 가스는 기판 상의 하나 이상의 개질된 표면 층들을 하나 이상의 변환된 층들로 변환하도록 구성된다.
일부 이러한 실시 예들에서, 개질하는 단계는 기판 상의 재료의 하나 이상의 표면 층들을 플라즈마에 노출하는 단계를 더 포함할 수도 있다.
일부 이러한 실시 예들에서, 변환하는 단계는 기판 상의 재료의 하나 이상의 표면 층들을 플라즈마에 노출하는 단계를 더 포함할 수도 있다.
일부 실시 예들에서, 반도체 프로세싱을 위한 장치가 제공될 수도 있다. 장치는 챔버 내부를 적어도 부분적으로 경계짓는 (bound) 챔버 벽들을 포함하는 프로세싱 챔버, 챔버 내부에 기판을 위치시키기 위한 웨이퍼 지지부, 제 1 프로세스 가스를 챔버 내부로 그리고 챔버 내부의 기판 상으로 흘리도록 구성된 프로세스 가스 유닛, 웨이퍼 지지부 상에 위치된 기판에 전자들을 노출시키도록 구성된 전자 소스, 및 프로세스 가스 유닛으로 하여금 챔버 내부의 기판에 제 1 프로세스 가스를 흘리게 하고―제 1 프로세스 가스는 기판 상의 하나 이상의 재료 층들을 개질하도록 구성됨―, 그리고 전자 소스로 하여금 전자들을 생성하게 하여 기판 상의 하나 이상의 개질된 표면 층들을 전자들에 노출시키게 하도록―하나 이상의 개질된 표면 층들은 플라즈마를 사용하지 않고 제거됨―구성되는 인스트럭션들을 포함하는, 제어기를 포함할 수도 있다.
일부 실시 예들에서, 장치는 챔버 내부로부터 가스들을 배기하도록 구성된 진공 유닛을 더 포함할 수도 있고, 그리고 제어기는 진공 유닛으로 하여금 챔버 내부에 진공을 생성하게 하고 챔버 내부로부터 가스들을 퍼지하게 하도록 구성된 인스트럭션들을 더 포함할 수도 있다.
일부 실시 예들에서, 장치는 기판의 전하를 중성화하도록 구성된 전하 중성화 유닛을 더 포함할 수도 있고, 그리고 제어기는 전하 중성화 유닛으로 하여금 기판의 전하를 중성화하게 하도록 구성된 인스트럭션들을 더 포함할 수도 있다.
일부 이러한 실시 예들에서, 전하 중성화 유닛은 플라즈마 소스, 자외선 광원, 및 전자 소스 중 적어도 하나일 수도 있다.
일부 실시 예들에서, 장치는 챔버 내부에 플라즈마를 생성하도록 구성된 플라즈마 생성기를 더 포함할 수도 있다. 플라즈마 생성기는 용량 결합 (capacitively coupled) 플라즈마, 유도 결합 (inductively coupled) 플라즈마, 상부 리모트 (remote) 플라즈마, 및 하부 리모트 플라즈마 중 하나일 수도 있다. 제어기는 플라즈마 생성기로 하여금 챔버 내부에서 플라즈마를 생성하게 하도록 구성된 인스트럭션들을 더 포함할 수도 있다.
일부 실시 예들에서, 장치는 챔버 내부와 전자 소스 사이에 개재된 격리 밸브 또는 셔터를 더 포함할 수도 있고, 그리고 격리 밸브 또는 셔터는 전자들로 하여금 챔버 내부에 도달하게 하도록 구성된다.
도 1은 개시된 실시 예들에 따른 동작들을 수행하기 위한 예시적인 프로세스 흐름도를 도시한다.
도 2는 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시한다.
도 3은 재료들 내로 다양한 전자 침투 깊이들의 그래프를 도시한다.
도 4는 또 다른 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시한다.
도 5는 재료 내로 전자 침투들을 도시한다.
도 6a 내지 도 6c는 슬롯을 갖는 재료 내의 전자 침투들을 도시한다.
도 7은 또 다른 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시한다.
도 8은 상이한 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시한다.
도 9는 개시된 실시 예들에 따른 동작들을 수행하기 위한 제 2 예시적인 프로세스 흐름도를 도시한다.
도 10은 도 9에 도시된 것과 유사한, 또 다른 전자 여기 ALE 사이클의 예시적인 예시를 도시한다.
도 11은 개시된 실시 예들에 따른 반도체 프로세싱을 위한 장치의 예시적인 단면도를 도시한다.
도 12는 개시된 실시 예들에 따른 반도체 프로세싱을 위한 또 다른 장치의 예시적인 단면도를 도시한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
종래의 ALE 프로세싱
반도체 제조 프로세스들은 종종 도체들, 반도체들, 및 유전체들을 포함하는 다양한 재료들의 패터닝 및 에칭을 수반한다. 일부 예는 금속들 또는 탄소와 같은 도체들; 실리콘 또는 게르마늄과 같은 반도체들; 및 이산화규소, 이산화알루미늄, 이산화지르코늄, 이산화하프늄, 질화규소, 및 질화티타늄과 같은 유전체들을 포함한다. ALE (Atomic Layer Etching) 프로세스들은 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 제거한다. 일반적으로, ALE 사이클들은 모노레이어를 에칭하는 것과 같은, 에칭 프로세스를 1 회 수행하도록 사용된 동작들의 최소 세트이다. 일 ALE 사이클의 결과는 기판 표면 상의 막 층의 적어도 일부가 에칭되는 것이다. 통상적으로, ALE 사이클은 반응성 층을 형성하기 위한 개질 동작, 이어서 이 반응성 층만을 제거하거나 에칭하기 위한 제거 동작을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나를 제거하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다.
예로서, 종래의 ALE 사이클은 다음의 동작들: (i) 반응물질 가스의 전달, (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택 가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시 예들에서, 에칭은 컨포멀하지 않게 (nonconformally) 수행될 수도 있다. 개질 동작은 일반적으로 개질되지 않은 재료보다 얇은 두께를 갖는 박형의 반응성 표면 층을 형성한다. 예시적인 개질 동작에서, 기판은 챔버 내로 염소를 도입함으로써 염소화될 수도 있다. 염소가 예시적인 에천트 종 또는 에칭 가스로서 사용되지만, 상이한 에칭 가스가 챔버 내로 도입될 수도 있다는 것이 이해될 것이다. 에칭 가스는 에칭될 기판의 타입 및 화학물질에 따라 선택될 수도 있다. 플라즈마가 점화될 수도 있고 염소가 에칭 프로세스를 위해 기판과 반응한다; 염소는 기판과 반응할 수도 있고, 또는 기판의 표면 상에 흡착될 수도 있다. 염소 플라즈마로부터 생성된 종은 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 직접적으로 생성될 수 있거나 기판을 하우징하지 않는 프로세스 챔버에서 리모트로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다.
일부 예들에서, 퍼지가 개질 동작 후에 수행될 수도 있다. 퍼지 동작에서, 비-표면-결합된 활성 종 (예를 들어, 염소) 이 프로세스 챔버로부터 제거될 수도 있다. 이는 흡착된 층을 제거하지 않고 활성 종을 제거하기 위해 프로세스 챔버를 퍼지하고 그리고/또는 배기함으로써 수행될 수 있다. 플라즈마에서 생성된 종은 단순히 플라즈마를 중단시키고 남아있는 종으로 하여금 붕괴되게 함으로써 제거될 수 있고, 선택 가능하게 챔버의 퍼지 및/또는 배기와 결합된다. 퍼지는 N2, Ar, Ne, He 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 수행될 수 있다.
제거 동작에서, 기판은 기판을 에칭하기 위해 에너지 소스에 노출될 수도 있다. 에너지 소스는 예를 들어 아르곤 또는 헬륨 이온들을 사용한 이온 충격, 제거를 유도하는 가스 또는 화학적으로 반응성 종을 활성화 또는 스퍼터링하는 것을 포함할 수도 있는 광자들에 대한 노출, 또는 열의 인가에 의한 것을 포함할 수도 있다. 제거 동안, 방향성 스퍼터링을 용이하게 하고 이를 위해 이온들을 끌어당기기 위해 바이어스가 선택 가능하게 턴 온될 수도 있다. 바이어스 전력은 전력이 이 제거 동작 동안 연속적으로 전달되기 때문에 통상적으로 스퍼터링을 방지하는 전력으로 설정된다. 일부 실시 예들에서, ALE는 에칭이 복수의 방향들로 수행되는 등방성일 수도 있고; 일부 다른 실시 예들에서, ALE는 에칭이 특정한 방향으로, 예컨대 수직으로 수행되는, 제거 프로세스에서 이온들이 사용되는 때와 같이 이방성이다.
다양한 예들에서, 개질 및 제거 동작들은 약 1 내지 약 30 사이클들, 또는 약 1 내지 약 20 사이클들과 같은 사이클들로 반복될 수도 있다. 임의의 적합한 수의 ALE 사이클들이 목표된 양의 막을 에칭하도록 포함될 수도 있다. 일부 실시 예들에서, ALE는 기판 상의 층들의 표면의 약 1 Å 내지 약 50 Å를 에칭하도록 사이클들로 수행된다. 일부 실시 예들에서, ALE 에칭 사이클들은 기판 상의 층들의 표면의 약 2 Å 내지 약 50 Å를 에칭한다. 일부 실시 예들에서, ALE 사이클 각각은 적어도 약 0.1 Å, 0.5 Å, 또는 1 Å를 에칭할 수도 있다.
일부 예들에서, 에칭 전에, 기판은 실리콘 또는 게르마늄과 같은 재료의 블랭킷 층을 포함할 수도 있다. 기판은 기판 상에 이전에 증착되고 패터닝된 패터닝된 마스크 층을 포함할 수도 있다. 예를 들어, 마스크 층이 블랭킷 비정질 실리콘 층을 포함하는 기판 상에 증착되고 패터닝될 수도 있다. 기판 상의 층들은 또한 패터닝될 수도 있다. 기판들은 좁은 그리고/또는 요각 (re-entrant) 개구부들, 피처 내 협폭부들 (constrictions), 및 고 종횡비들 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층 내의 홀 또는 비아이다. 또 다른 예는 기판 또는 층의 트렌치이다. "좁은" 개구부를 갖는 피처가 상대적인 관점들에서 "넓은" 피처의 개구부 직경 또는 라인 폭보다 작은 개구부 직경 또는 라인 폭을 갖는 피처로 규정될 수도 있다. 넓은 피처들은 좁은 피처들의 임계 치수보다 적어도 1.5 배, 또는 적어도 2 배, 또는 적어도 5 배, 또는 적어도 10 배 또는 10 배보다 큰 개구부 직경 또는 임계 치수를 가질 수도 있다. "좁은" 피처들의 예들은 약 10 Å 내지 약 100 Å의 개구부 직경을 갖는 피처들을 포함한다. "넓은" 피처들의 예들은 대략 수 백 Å 내지 약 1 ㎛의 개구부 직경을 갖는 피처들을 포함한다. 다양한 예들에서, 피처는 배리어 층 또는 접착 층과 같은, 하부 층을 가질 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 도전 층들, 예를 들어, 산화규소들, 질화규소들, 탄화규소들, 금속 산화물들, 금속 질화물들, 금속 탄화물들, 및 금속 층들을 포함한다.
ALE 프로세스 조건들, 예컨대 챔버 압력, 기판 온도, 플라즈마 전력, 주파수, 및 타입, 및 바이어스 전력은 에칭될 재료, 에칭될 재료를 개질하기 위해 사용된 가스들의 조성, 에칭될 재료의 아래에 놓인 재료, 및 개질된 재료를 제거하기 위해 사용된 가스들의 조성에 종속된다. 그러나, 이들 인자들의 조합은 다양한 재료들을 에칭하기 위해 ALE를 수행하는 것을 어렵게 만든다. 예를 들어, 상기 참조된 제거 동작에 사용된 종래의 에너지 소스들은 다양한 단점들 및 과제들을 제시할 수도 있다. 예를 들어, 이온 충격의 사용은 방향성, 즉, 이방성 에칭으로 제한되고, 이에 따라 다수의 방향들로 에칭하는 능력을 배제한다. 이 에칭이 내부 깊은 콘택트들 또는 트렌치들에 도달할 수도 있지만, 이 에칭은 이들 영역들 내에서 등방성 에칭을 수행할 수 없다. 부가적으로, 이온 충격은 마스크로 하여금 부식되게 하거나, 패싯되게 (faceted) 하거나, 또는 둘 모두를 할 수도 있고, 이는 포토리소그래피 동작들에 부정적으로 영향을 줄 수도 있고, 기판 결함들을 초래할 수도 있다.
이온 충격이 적용 가능한 재료들은 이온 충격이 이온 충격에 노출된 재료의 원치 않은 스퍼터링을 유발할 수도 있기 때문에 또한 제한된다. 스퍼터링에서, 재료는 재료의 표면 결합 에너지보다 높은 운동 에너지를 갖는 이온들에 재료가 노출될 때 입자들을 배출한다. 따라서, 다양한 표면 결합 에너지들을 갖는 복수의 재료들을 갖는 기판이 일 재료를 에칭하기 위해 이온들을 사용하여 충격을 받을 때, 일 재료보다 작은 표면 결합 에너지들을 갖는 다른 재료들은 스퍼터링을 유발할 수도 있고, 이에 따라 궁극적으로 원치 않은 기판 결함들을 초래할 수도 있는 기판 상의 재료들의 원치 않은 제거 또는 열화를 초래한다. "연질 (soft)" 재료들은 알루미늄 (3.19 eV), 구리 (3.48 eV), 게르마늄 (4.29 eV), 은 (3.33 eV), 및 금 (4.13 eV) 을 포함하여 4.5 eV 미만의 표면 결합 에너지를 갖는 재료들로 간주될 수도 있고, "경질 (hard)" 재료들은 실리콘 (4.73 eV), 탄탈룸 (8.1 eV), 레늄 (8.0 eV), 및 니오븀 (7.5 eV) 과 같은 4.5 eV보다 큰 표면 결합 에너지를 갖는 재료들로 간주될 수도 있다. 따라서, 연질 재료 및 경질 재료 모두를 갖는 기판은 기판이 경질 재료들을 제거하도록 의도된 이온들로 충격을 받을 때 연질 재료들을 스퍼터링할 수도 있다. 따라서, 에칭을 위해 이온 충격에 노출될 수 있는 재료들은 제한된다.
또 다른 예에서, 기판을 광자들에 노출하는 것은 비방향성, 즉, 등방성 에칭으로 제한되고, 따라서 내부 깊은 콘택트들 또는 트렌치들과 같은 고 종횡비를 갖는 영역들을 에칭하는 것을 방지한다. 유사하게, 제거 동작을 위한 에너지 소스로서 열을 인가하는 것은 또한 등방성 에칭으로 제한되고, 그리고 에칭이 효율적이고 적시에 복수의 온도들 사이에서 순환될 수 없다면 제한된 쓰루풋 (throughput) 을 가질 수도 있다.
종래의 에칭의 제거 동작 동안 플라즈마의 사용은 또한 수많은 과제들 및 단점들을 제시한다. 예를 들어, 단일 기판의 ALE 사이클 각각뿐만 아니라 배치 (batch) 내의 모든 기판들에 대해 동일한 플라즈마 조건들을 생성하는 것이 일반적으로 바람직하지만, 일부 플라즈마들이 프로세스 챔버 내의 재료의 축적으로 인한 일부 플라즈마들의 변화로 인해 동일한 플라즈마 조건들을 반복적으로 재생성하는 것이 어려울 수 있다. 부가적으로, 많은 종래의 ALE 프로세스들은 산화실리콘과 같은 기판의 노출된 컴포넌트들에 손상을 유발할 수도 있고, 결함들을 유발할 수도 있고, 그리고 패턴의 상단-하단 비를 상승시키고 패턴 로딩을 증가시킬 수도 있다. 결함들은 디바이스가 쓸모없게 될 수도 있는 정도로 패턴-누락을 초래할 수도 있다. 플라즈마-보조된 ALE는 또한 목표될 수도 있는 것보다 많은 재료를 제거하게 하여 이 에칭의 선택도를 감소시키는, 보다 공격적인 작은 라디칼들, 즉, 철저히 해리된 라디칼들을 활용한다. 결과로서, 종래의 ALE 기법들은 종종 이산화알루미늄, 이산화지르코늄, 이산화하프늄, 질화규소, 및 질화티타늄과 같은 일부 재료들을 선택적으로 에칭하기에 적합하지 않다.
전자 여기 ALE
제거 동작을 구동하기 위해 플라즈마 대신 인가된 에너지 소스로서 전자들을 사용하여 ALE를 수행하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 제거 동작을 구동하기 위해 플라즈마가 아닌 전자 소스에 대한 노출에 의존하는 ALE는 "전자 여기 ALE"로 간주될 수도 있다.
도 1은 개시된 실시 예들에 따른 동작들을 수행하기 위한 예시적인 프로세스 흐름도를 도시한다. 동작 101에서, 기판이 프로세스 챔버에 제공된다. 기판은 유전체, 도전 또는 반도전 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 mm 웨이퍼, 300 mm 웨이퍼, 또는 450 mm 웨이퍼일 수도 있다. 패터닝된 기판이 좁은 그리고/또는 요각 개구부들, 피처 내 협폭부들, 및 고 종횡비들 중 하나 이상을 특징으로 할 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있다. 피처들은 상기 기술된 층들 중 하나 이상에 형성될 수도 있다.
일부 실시 예들에서, 기판은 어떠한 피처도 갖지 않고, 기판의 표면은 재료의 블랭킷 층이다. 일부 실시 예들에서, 기판은 다양한 사이즈들의 피처들을 포함한다. 다양한 실시 예들에서, 개시된 실시 예들을 수행하는 것으로부터 제조된 기판들의 타입들은 개시된 실시 예들을 수행하기 전에 기판 상의 피처들의 종횡비에 종속될 수도 있다. 일부 실시 예들에서, 동작 101에 제공된 기판 상의 피처들은 적어도 약 2:1, 적어도 약 3:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 적어도 약 30:1, 또는 그 이상의 종횡비를 가질 수도 있다. 피처는 또한 개구부 근방 치수, 예를 들어, 약 5 nm 내지 500 nm, 예를 들어 약 25 nm 내지 약 300 nm의 개구부 직경 또는 라인 폭을 가질 수도 있다. 개시된 방법들은 약 20 ㎚ 미만의 개구부를 갖는 피처들을 갖는 기판들 상에서 수행될 수도 있다.
비아, 트렌치 또는 다른 리세스된 (recessed) 피처가 충진되지 않은 피처 또는 피처로서 지칭될 수도 있다. 다양한 실시 예들에 따라, 피처 프로파일은 점진적으로 좁아질 수도 있고 그리고/또는 피처 개구부에 오버행 (overhang) 을 포함할 수도 있다. 요각 프로파일은 피처의 하단부, 폐쇄된 단부, 또는 내부로부터 피처 개구부로 좁아지는 것이다. 요각 프로파일은 패터닝 동안 비대칭 에칭 동역학 및/또는 확산 배리어의 증착과 같은 이전 막 증착에서 컨포멀하지 않은 막 단차 커버리지 (step coverage) 로 인한 오버행에 인해 생성될 수도 있다. 다양한 예들에서, 피처는 피처의 중간 및/또는 하단의 폭보다 피처의 상단부의 개구부에서 보다 작은 폭을 가질 수도 있다.
동작 103에서, 기판은 기판의 표면의 적어도 일부를 개질하기 충분한 지속기간 동안 개질 가스에 노출된다. 에칭 화학물질이 동작 103에서 챔버 내로 도입된다. 본 명세서에 기술된 바와 같이, 재료들이 챔버 내로 도입되는 동작들에서, 반응기 또는 챔버는 기판 또는 웨이퍼를 프로세싱하기 전에 챔버 내로 화학물질을 도입함으로써 안정화될 수도 있다. 챔버를 안정화하는 것은 안정화에 이어지는 동작에 사용될 화학물질과 동일한 플로우 레이트들, 압력, 온도들, 및 다른 조건들을 사용할 수도 있다. 일부 실시 예들에서, 챔버를 안정화하는 것은 상이한 파라미터들을 수반할 수도 있다. 일부 실시 예들에서, 캐리어 가스, 예컨대 N2, Ar, Ne, He, 및 이들의 조합들이 동작 103 동안 연속적으로 흐른다. 일부 실시 예들에서, 캐리어 가스는 제거 동안만 사용된다. 캐리어 가스는 이하에 기술된 바와 같이 일부 동작들에서 퍼지 가스로 사용될 수도 있다.
개질 동작 (103) 은 후속 제거 동작에서 개질되지 않은 재료보다 쉽게 제거되는 두께를 갖는 박형, 반응성 표면 층, 또는 표면 층의 반응성 부분을 형성할 수도 있다. 상기 주지된 바와 같이, 예시적인 개질 동작에서, 기판은 챔버 내로 염소를 도입함으로써 염소화될 수도 있다. 개시된 실시 예들에서 예시적인 에천트 종으로서 염소가 사용되지만, 일부 실시 예들에서, 상이한 에칭 가스가 챔버 내로 도입된다는 것이 이해될 것이다. 에칭 가스는 에칭될 기판의 타입 및 화학물질에 따라 선택될 수도 있다. 일부 실시 예들에서, 염소는 기판과 반응할 수도 있고, 또는 기판의 표면 상에 흡착될 수도 있다. 다양한 실시 예들에서, 염소는 가스 형태로 챔버 내로 도입되고, 선택 가능하게 상기 기술된 것들 중 임의의 것일 수도 있는 캐리어 가스가 동반될 수도 있다.
일부 실시 예들에서, 플라즈마가 기판의 하나 이상의 층들의 개질을 보조하거나 용이하게 하기 위해 개질 동작 103 동안 점화될 수도 있다. 일부 실시 예들에서, 개질 가스는 이어서 기판이 하우징되는 프로세스 챔버에 전달되는 플라즈마 종을 생성하도록, 리모트 플라즈마 챔버 내에서 점화된다. 일부 실시 예들에서, 개질 가스는 프로세스 챔버 내에서 점화된다. 예를 들어, 플라즈마가 점화될 수도 있고, 염소는 에칭 프로세스를 위해 기판과 반응한다. 염소 플라즈마로부터 생성된 종은 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 직접적으로 생성될 수 있거나 기판을 하우징하지 않는 프로세스 챔버에서 리모트로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다. 일부 실시 예들에서, 플라즈마가 사용되지 않고, 염소가 챔버 내로 열적으로 도입될 수도 있다.
다양한 실시 예들에서, 플라즈마는 유도 결합 플라즈마 또는 용량 결합 플라즈마일 수도 있다. 유도 결합 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마로 설정될 수도 있다. 일부 실시 예들에서, 예를 들어, 약 0 V 내지 약 100 V, 그리고 약 0 V 내지 500 V의 바이어스가 인가될 수도 있다.
다양한 실시 예들에서, 플라즈마는 동작 103 동안 펄싱될 수도 있다. 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마 전력의 ON 상태와 0 W의 플라즈마 전력의 OFF 상태 사이에서 펄싱될 수도 있다. 일부 실시 예들에서, 플라즈마는 약 10 W 내지 약 100 W의 플라즈마 전력의 로우 (low) 상태와 약 900 W 내지 약 1500 W의 플라즈마 전력의 하이 (high) 상태 사이에서 펄싱될 수도 있다.
펄싱은 약 10 ㎐ 내지 약 200 ㎐의 펄싱 주파수로 수행될 수도 있다. 개질 가스에 대한 플라즈마 펄싱의 듀티 사이클은 약 1 % 내지 약 20 %일 수도 있다. 펄싱이 기간들의 반복들을 수반할 수도 있고, 기간들 각각은 지속기간 T를 지속할 수도 있다는 것이 이해될 것이다. 지속기간 T는 미리 결정된 기간 동안 펄스 ON 시간에 대한 지속기간 (플라즈마가 ON 상태에 있는 지속기간) 및 OFF 시간에 대한 지속기간 (플라즈마가 OFF 상태에 있는 지속기간) 을 포함한다. 펄스 주파수는 1/T로 이해될 것이다. 예를 들어, 펄싱 기간 T = 100 ㎲에 대해, 주파수는 1/T = 1/100 ㎲, 또는 10 ㎑이다. 듀티 사이클 또는 듀티 비는 듀티 사이클 또는 듀티 비가 T로 나눈 펄스 ON 시간이도록 에너지 소스가 ON 상태에 있는 기간 T의 분율 또는 백분율이다. 예를 들어, 펄싱 기간 T = 100 ㎲에 대해, (에너지 소스가 기간의 ON 상태에 있는 지속기간이 70 ㎲에 있도록) 펄스 ON 시간이 70 ㎲이고 (에너지 소스가 기간의 OFF 상태에 있는 지속기간이 30 ㎲에 있도록) 펄스 OFF 시간이 30 ㎲이면, 듀티 사이클은 70 %이다.
일부 실시 예들에서, 플라즈마는 보다 높은 에너지가 개질 가스로 전달되게 하도록 펄싱된다. 일부 실시 예들에서, 플라즈마를 생성하기 위해 사용된 장치로 하여금 장치의 한계들을 해결하는 특정한 조건들에서 동작하게 하도록 플라즈마가 펄싱될 수도 있다. 예를 들어, 짧고 연속적인 지속기간 동안 플라즈마 전력을 전달할 수 없는 장치들에 대해, 플라즈마 ON 시간 전체가 짧은, 연속적인 지속기간이도록, 보다 긴 시간의 기간에 걸쳐 짧고, 연속적인 지속기간 동안 전달될 수 있는 도즈를 복수의 펄스들로 분리하는 것은, 기판 표면 상의 활성 사이트들의 대부분 또는 전부를 개질하기에 충분한 플라즈마 전력의 전달을 용이하게 한다. 예를 들어, 염소가 실리콘 표면을 연속적으로 개질하기 위해 필요한 최소 시간 양이 400 밀리초이지만 장치가 짧은 연속적인 지속기간 동안 염소 가스 및 플라즈마 전력을 전달할 수 없다면, 400 밀리초의 지속기간은 연속적인 염소 가스 플로우와 100 ms 펄스 플라즈마 전력 및 400 ms 무 플라즈마 전력의 4 사이클들을 사용하여 2 초에 걸쳐 전달될 수 있다.
동작 105에서, 프로세스 챔버는 기판 표면을 개질하지 않은 과잉 개질 가스 분자들을 제거하도록 선택 가능하게 퍼지된다. 퍼지 동작에서, 비-표면-결합된 활성 종은 프로세스 챔버로부터 제거될 수도 있다. 이는 흡착된 층을 제거하지 않고 활성 종을 제거하기 위해 프로세스 챔버를 퍼지하고 그리고/또는 배기함으로써 수행될 수 있다. 플라즈마에서 생성된 종은 단순히 플라즈마를 중단시키고, 선택 가능하게 챔버의 퍼지 및/또는 배기와 결합하여 나머지 종들이 붕괴되게 함으로써 제거될 수 있다. 퍼지는 N2, Ar, Ne, He 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 이루어질 수 있다.
동작 107에서, 기판의 개질된 표면의 적어도 하나의 섹션은 기판으로부터 개질된 반응성 표면이 해리될 수 있게 하도록 개질된 반응성 표면에 에너지를 공급하여, 기판으로부터 개질된 반응성 표면 또는 부분들을 제거하는 전자 소스에 노출된다. 일부 실시 예들에서, 전자 소스는 전체 기판 표면을 전자들에 한번에, 즉, 동시에 노출시키도록 구성되는 큰 면적 소스일 수도 있다. 일부 실시 예들은 육붕소화란타늄으로부터 형성될 수도 있는 열이온 소스일 수도 있고, 또는 전자 소스는 가열된 텅스텐 지르코늄 이산화물 (W/ZrO2) 과 같은 필드 전자 방출 소스일 수도 있다. 일부 다른 실시 예들에서, 전자 소스는 기판의 복수의 섹션들, 또는 기판의 전부를 스캔하는 전자 빔일 수도 있다. 이 전자 소스는 기판의 하나 이상의 섹션들 상에 포커싱될 수 있고, 빔을 기판의 다양한 위치들 및 섹션들로 편향시키는 벡터 스캔과 같이, 섹션들 위에 또는 기판 전체에 걸쳐 스캐닝될 수도 있는 성형된 빔들을 사용할 수도 있다. 또 다른 예시적인 전자 소스는 플라즈마 전자 소스를 포함한다. 이들 전자 소스들, 및 이들 소스들에 대한 조정들 및 발생하는 에칭은 이하에 보다 상세히 기술된다.
동작 109에서, 기판의 전하는 선택 가능하게 중성화된다. 일부 실시 예들에서, 전자 소스에 대한 노출 후 기판의 전하는 동작 107에서 노출 전보다 높을 수도 있고, 이 전하를 제거하거나 감소시키는 것이 바람직하다. 예를 들어, 과잉 전하를 갖는 기판을 갖는 것은 웨이퍼들에 해롭고 파괴적일 수 있는 입자들을 끌어당기는 것과 같이, 기판의 후속 프로세싱에 부정적으로 영향을 줄 수도 있고, 뿐만 아니라, 전자 편향을 방지하여 에칭을 포함하는 프로세싱의 방향성을 인에이블한다 (enable). 예를 들어, 입자들은 웨이퍼의 전면 상의 형성된 집적 회로들 내에서 의도하지 않고 매우 바람직하지 않은 쇼트들을 형성할 수도 있다. 보다 일반적으로, 입자들은 후속 웨이퍼 프로세싱을 간섭할 수도 있다. 후면에 부착된 입자들은 프로세싱 또는 핸들링 동안 밑에 위치된 또 다른 웨이퍼 상으로 떨어질 수도 있고 나중에 상기 열거된 문제들을 유발할 수도 있다. 예를 들어, 웨이퍼들은 통상적으로 일 웨이퍼가 또 다른 웨이퍼 바로 위에 위치되는 FOUP들 (Front Opening Unified Pods) 과 같은 카세트-유사 유닛들에 저장된다. 일 웨이퍼의 하단 측면을 오염시키는 입자들은 아래의 웨이퍼의 전면 상에 떨어질 수 있다. 보통 웨이퍼들은 에지들 둘레에만 지지되고, 이는 그 위의 웨이퍼의 하단부에 직접 노출된 일 웨이퍼의 전면을 남긴다.
전하는 예를 들어, 유도 결합 플라즈마, 용량 결합 플라즈마, 전자 플라즈마 소스, 또는 리모트 플라즈마와 같은 플라즈마 소스로부터의 이온들에 기판을 노출하고; 하나 이상의 램프들과 같은 자외선 광원에 기판을 노출하고; 기판을 전자 빔과 동일한 전자 소스로부터일 수도 있는 전자들 및 이온들에 교번적으로 노출하고; 그리고 기판 상에 표면 전도성 층을 증착하는 것을 포함하는 수많은 방식들로 감소되거나 제거될 수도 있다. 동작 109는 도 1에 도시된 순서와 같이 동작 111 후, 또는 동작 113 후에, 기판이 프로세스 챔버로부터 제거되기 전 다양한 시퀀스들로 수행될 수도 있다.
동작 111에서, 챔버는 동작 107의 제거 동작으로부터 과잉 활성화 가스 및 반응 부산물들을 제거하도록 선택 가능하게 퍼지된다.
동작 113에서, 동작 103 내지 동작 111은 선택 가능하게 사이클들로 반복된다. 다양한 실시 예들에서, 개질 및 제거 동작들은 약 1 사이클 내지 약 200 사이클, 또는 약 1 사이클 내지 약 150 사이클, 또는 약 1 사이클 내지 약 70 사이클, 또는 약 1 사이클 내지 약 40 사이클, 또는 약 1 내지 약 30 사이클, 또는 약 1 내지 약 20 사이클로 반복될 수도 있다. 임의의 적합한 수의 ALE 사이클들이 목표된 양의 막을 에칭하도록 포함될 수도 있다. 일부 실시 예들에서, ALE는 기판 상의 층들의 표면의 약 0.1 Å 내지 약 50 Å를 에칭하기 위한 사이클들로 수행된다. 일부 실시 예들에서, ALE의 사이클들은 기판 상의 층들의 표면의 약 1 Å 내지 약 50 Å를 에칭한다.
도 2는 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시한다. 도표들 (200a 내지 200e) 은 전자 여기 ALE 사이클을 도시한다. (200a) 에서, 기판이 제공된다. (200b) 에서, 기판의 표면이 개질된다. (200c) 에서, 다음 동작이 준비된다; 이 준비는 제 2 프로세스 가스를 흘리는 것 또는 챔버를 퍼지하는 것을 포함할 수도 있다. (200d) 에서, 기판은 기판으로부터 표면이 해리되게 인에이블하도록 개질된 반응성 표면에 에너지를 제공하는 전자 소스에 노출되어, 개질된 반응성 표면 또는 이의 부분들을 제거한다. (200e) 에서, 목표된 재료가 제거되었다.
유사하게, 도표들 (202a 내지 202e) 는 재료 층으로부터 원자들 (204) 을 에칭하기 위한 전자 여기 ALE 사이클의 예를 도시한다. (202a) 에서, 복수의 원자들을 포함하는 기판이 제공되고, 이들 중 일부는 항목 (204) 으로 식별된다. (202a) 의 상단 층은 재료 (예시적인 재료들은 실리콘 및 탄소를 포함함) 의 표면 층 (206) 으로 간주될 수도 있고; (202a) 에서 알 수 있는 바와 같이, 재료의 표면 층 (206) 은 6 개의 원자들 (204) 을 포함하고, 이들 중 2 개가 식별된다. (202b) 에서, 개질 분자들 (208) 을 갖는 제 1 프로세스 가스 (속이 찬 블랙 원형들, 이들 중 일부는 식별자 (208) 로 식별되고; 예시적인 분자들은 이원자 염소 및 일산화탄소를 포함함) 가 기판에 도입되어 기판의 표면 층 (206) 을 개질한다. (202b) 의 개략도는 개질 분자들 (208) 중 일부가 기판의 표면 층 (206)의 원자들 (204) 상에 흡착되거나 이와 반응하여 개질된 분자들 (212) 을 포함하는 개질된 표면 층 (210) 을 생성하는 것을 도시한다 (하나의 개질된 분자 (212) 는 (202b) 의 점선 타원 내부에 식별됨; 예시적인 분자들은 이산화탄소 및 실리콘 테트라클로라이드를 포함함). (202c) 에서, 개질된 분자들 (212) 및 개질된 표면 층 (210) 이 (202b) 에서 생성된 후, 제 1 프로세싱 가스는 챔버로부터 선택 가능하게 퍼지될 수도 있다. 여기서, 6 개의 개질된 분자들 (212) 이 개질된 표면 층 (210) 에서 보여지고, 이들 중 2 개는 점선 타원들 내부에서 식별되고, 개질 분자들 (208) 은 제거되었다. (202d) 에서, 기판은 개질된 분자들 (212) 에 에너지를 제공하는 전자들 (214) 에 노출되어 개질된 분자들 (212) 이 기판으로부터 해리되고 따라서 기판으로부터 제거되도록 인에이블한다. (202e) 에서, 개질된 분자들 (212), 그리고 따라서 개질된 표면 층 (210) 은 기판으로부터 제거되었다. 재료의 단일 층이 도 2에서 제거되었지만, 재료의 하나 이상의 표면 층들이 이러한 동작들에 의해 제거될 수도 있다는 것이 이해된다.
제거 동작 동안 전자 소스의 사용은 다양한 에칭 특성들이 조정되는 것을 인에이블한다. 예를 들어, 에칭된 영역들뿐만 아니라, 에칭의 깊이 및 타입 (예를 들어, 등방성, 이방성) 이 조정될 수도 있다. 일부 실시 예들에서, 에칭 깊이는 전자 소스의 에너지 레벨을 조정함으로써 변화될 수도 있다. 일부 전자 소스들에 대해, 전자들이 기판의 재료 내로 침투하는 깊이는 전자 소스의 에너지 레벨에 종속된다. 도 3은 재료들 내로 다양한 전자 침투 깊이들의 그래프를 도시한다. x-축은 전자 에너지인 반면 y-축은 재료 내로 전자 범위 또는 침투 깊이이고, 알 수 있는 바와 같이, 침투 깊이는 전자 에너지가 상승함에 따라 모든 세 가지 예시적인 재료들 (규소/이산화규소, 게르마늄, 및 구리) 내로 증가한다. 따라서, 전자 소스 에너지를 상승시키는 것은 전자들의 침투 깊이를 증가시키고, 결국 반응성 종을 해리시키기 위해 필요한 에너지를 수용하는 재료의 깊이를 증가시키고 따라서 에칭될 수 있는 재료의 깊이를 증가시킨다. 유사하게, 전자 소스 에너지를 감소시키는 것은 침투 깊이를 감소시키고 따라서 발생하는 에칭 깊이를 감소시킨다.
상기 언급된 바와 같이, 에칭되는 재료는 개질된 재료이고, 이는 표면 층뿐만 아니라 표면 층 밑의 층들일 수도 있고; 이들 하부 층들의 개질은 예를 들어, 개질 단계 동안 표면 층 아래로 침투할 수 있는 플라즈마, 다운스트림 라디칼들, 및 중성 가스의 사용을 통해 발생할 수도 있다. 이에 기초하여, 전자 여기 ALE를 사용한 복수의 층들의 개질 및 에칭이 수행될 수도 있다. 도 4는 또 다른 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시한다. 도표들 (400a 내지 400e) 은 전자 여기 ALE 사이클을 도시하고 상기 도표들 (200a 내지 200e) 과 유사하지만, 재료의 복수의 층들의 개질 및 제거를 예시한다. (400a) 에서, 기판이 제공된다. (400b) 에서, 기판의 표면, 뿐만 아니라 표면 밑의 재료의 2 개의 층들이 개질된다. (400c) 에서, 다음 동작이 준비된다; 이 준비는 제 2 프로세스 가스를 흘리는 것 또는 챔버를 퍼지하는 것을 포함할 수도 있다. (400d) 에서, 기판은 이들 3 개의 층들이 기판으로부터 해리되어, 이들의 제거를 인에이블하도록 개질된 반응성 표면 층 및 2 개의 하부 층들에 에너지를 제공하는 전자 소스에 노출된다. (400e) 에서, 목표된 재료가 제거되었다.
도표들 (402a 내지 402e) 은 재료 층으로부터 원자들 (404) 을 에칭하기 위한 전자 여기 ALE 사이클의 또 다른 예를 도시한다. (402a) 에서, 복수의 원자들을 포함하는 기판이 제공되고, 이들 중 일부는 항목 (404) 로 식별된다. (402a) 의 상단 층은 재료의 표면 층 (406A) 으로 간주될 수도 있고, 표면 층 (406A) 밑의 2 개의 층들은 층들 (406B 및 406C) 로서 식별된다. 층들 (406A 및 406C) 은 6 개의 원자들 (404) 을 포함하고, 이들 중 2 개는 층 (406A) 에서 식별되고, 층 (406B) 은 5 개의 분자들을 포함한다. (402b) 에서, 개질 분자들 (408) 을 갖는 제 1 프로세스 가스 (속이 찬 블랙 원형들, 이들 중 일부는 식별자 (408) 로 식별됨) 가 기판에 도입되어 기판의 표면 층 (406A) 및 2 개의 층들 (406B 및 406C) 을 개질한다. (402b) 의 개략도는 개질 분자들 (408) 중 일부가 기판의 층들 (406A, 406B, 및 406C) 의 원자들 (404) 상에 흡착되거나 이들과 반응하여, 개질된 분자들 (412) (3 개의 개질된 분자들 (412) 은 (402b) 의 점선 타원들 내부에서 식별됨) 을 포함하여, 집합적으로 (410) 으로 식별된다.
(402c) 에서, 개질된 분자들 (412) 및 개질된 층들 (410) 이 (402b) 에서 생성된 후, 제 1 프로세싱 가스는 챔버로부터 선택 가능하게 퍼지될 수도 있다. 여기서, 17 개의 개질된 분자들 (412) 이 개질된 층들 (410) 에서 보여지고, 이들 중 3 개는 점선 타원들 내부에서 식별되고, 그리고 개질 분자들 (408) 은 제거되었다. (402d) 에서, 기판은 개질된 분자들 (412) 에 에너지를 제공하고 기판으로부터 이들 3 개의 개질된 층들 (410) 의 개질된 분자들 (412) 의 제거를 인에이블하기 위해, 전자들 (414) 로 하여금 개질된 층들 (410) 의 모든 3 개의 층들로 침투하게 하는 전자 에너지를 갖는 전자 소스에 노출된다. (402e) 에서, 개질된 분자들 (412), 그리고 따라서 개질된 층들 (410) 은 기판으로부터 제거되었다.
일부 실시 예들에서, 전자 여기 ALE는 에칭 타입으로 하여금 조정되게 한다. 상기 언급된 바와 같이, 에칭은 이방성 (즉, 방향성), 등방성 (즉, 비방향성), 또는 부분적으로 이방성일 수도 있다. 전자 소스 에너지를 조정하는 것은 수행되는 에칭의 타입을 조정할 수도 있다. 예를 들어, 전자 침투 깊이가 목표된 에칭 치수들 (예컨대 에칭의 깊이, 폭, 또는 둘 모두) 보다 실질적으로 작도록 (예를 들어, 25 %, 15 %, 10 %, 1 %, 0.1 %, 또는 0.001 %) 전자 소스 에너지가 조정된다면, 에칭은 이방성으로 간주될 수도 있다. 전자 침투 깊이가 목표된 에칭 치수들보다 크거나 실질적으로 동일하도록 (예를 들어, 적어도 10 % 또는 5 % 이내) 전자 소스 에너지가 조정되면, 에칭은 등방성으로 간주될 수도 있다. 침투 깊이가 이들 범위들 사이에 있도록 전자 소스 에너지가 조정되면, 에칭은 부분적으로 이방성으로 간주될 수도 있다. 따라서, 전자 소스는 이방성 에칭 (예를 들어, 전자 침투 깊이가 목표된 에칭 치수들보다 실질적으로 작은 (예를 들어, 25 %, 15 %, 10 %, 1 %, 0.1 %, 또는 0.001 % 미만)) 을 유발하기에 충분한 일 에너지 레벨, 등방성 에칭 (예를 들어, 전자 침투 깊이가 목표된 에칭 치수들보다 크거나 실질적으로 동일한 (예를 들어, 적어도 10 % 또는 5 % 이하)) 을 유발하기에 충분한 다른 에너지 레벨, 그리고 부분적으로 이방성 에칭 (예를 들어, 전자 침투 깊이들이 목표된 에칭 치수 미만임) 을 유발하기에 충분한 또 다른 에너지 레벨로 설정될 수도 있다.
재료 내로 전자 소스 침투들을 도시하는 도 5가 이 개념을 예시한다. 여기서, 재료 내의 높이로서 나타낸 에칭 치수를 갖는 재료 층이 도시된다; 재료 내로 2 개의 전자 소스들의 침투 패턴이 또한 도시된다. 도 5의 좌측에서, 재료는 에칭 치수보다 상당히 작은 침투 깊이 (516A) 를 갖는 1keV 전자 소스에 노출되었고, 예를 들어, 도시된 침투 깊이 (516A) 는 에칭 치수 (도시된 재료의 깊이) 의 약 2.5%이다. 따라서, 이 1keV 전자 소스는 이방성 에칭을 유발한다. 도 5의 재료는 또한 도 5의 에칭 치수 (예를 들어, 재료의 높이) 이상인 침투 깊이 (516B) 를 갖는 10keV 전자 소스에 노출되었고, 이에 따라 재료의 등방성 에칭을 유발한다. 이 예가 에칭 치수로서 높이를 사용하지만, 에칭 치수는 또한 목표된 에칭의 폭일 수도 있다.
전자 침투의 또 다른 예는 슬롯을 갖는 재료 내로 전자 침투들을 도시하는 도 6a 내지 도 6c로 예시된다. 이들 도면들에서 y-축은 나노미터 (nm) 의 수직 거리이고, x-축은 또한 nm 단위의 수평 거리이고, 그리고 음영은 재료 내로의 전자 침투를 나타낸다; 재료의 슬롯은 폭이 20 ㎚이고 높이가 1,000 ㎚이다. 도 6a에서, 재료는 1 keV의 에너지 레벨을 갖는 전자 소스에 노출되었고 알 수 있는 바와 같이, 전자들은 재료의 상단 표면 및 슬롯의 하단에서 표면을 약 5 ㎚의 작은 양으로 침투한다. 전자 소스 에너지는 도 6b에서 10 keV로 그리고 도 6c에서 30 keV로 상승되고, 알 수 있는 바와 같이, 전자 소스는 도 6b에서 약 275 ㎚ 및 도 6c에서 약 500 ㎚와 같이 1 keV 레벨에서보다 재료 내로 보다 깊이 침투한다. 도 6b 및 도 6c에서 또한 알 수 있는 바와 같이, 전자들은 재료의 상단 표면들, 뿐만 아니라 슬롯의 측벽들 및 하단 표면을 통해 침투할 수도 있다. 전자 소스의 에너지가 클수록, 재료 내로의 침투가 커진다. 일부 실시 예들에서, 6A는 이방성으로 간주될 수도 있다.
상기 주지된 바와 같이, 전자 여기 ALE를 사용하여, 전자 소스에 대한 조정들은 기판 상에서 수행된 에칭의 조정을 허용한다. 이는 전자 소스에 노출되는 기판의 영역들 또는 섹션들을 조정하는 것뿐만 아니라 전자 소스 에너지, 전자 소스에 대한 노출 지속기간, 또는 둘 모두를 조정하는 것을 포함한다. 이 조정 가능성은 개질된 표면의 선택적인 제거를 허용한다.
예를 들어, 일부 실시 예들에서, 전체 기판이 아닌 기판의 일 섹션이 전자 소스에 노출될 수도 있다. 도 7은 또 다른 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시한다. 여기서, 도표들 (702a 내지 702f) 은 재료 층 (706) 으로부터 원자들의 섹션 (704) 을 에칭하기 위한 예시적인 전자 여기 ALE 사이클을 도시한다. 도표들 (702a 내지 702c) 은 개질된 분자들 (712) 을 갖는 개질된 표면 층 (710) 을 형성하도록 원자들 (704) 과 반응하거나 원자들 내로 흡착되는 개질 분자들 (708) 을 사용하여 재료의 표면 층 (706) 이 개질되는 (202a 내지 202c) 와 동일하다. (702d) 에서, 기판의 제 1 섹션 (718) 및 제 2 섹션 (720) 이 식별되고, (702e) 에서, 제 1 섹션 (718) 은 전자 소스에 노출되지만 제 2 섹션 (720) 은 전자들 (714) 에 노출되지 않는다. 제 1 섹션 (718) 의 이 노출은 개질된 표면 층 (710) 의 이 제 1 섹션 (718) 의 개질된 분자들 (712) 을 기판으로부터 해리시키고, 따라서 기판으로부터의 제거를 인에이블하지만, 제 2 섹션 (720) 의 개질된 분자들 (712) 이 (702f) 에서 볼 수 있는 바와 같이 기판 상에 남는다. 나중의 사이클들은 제 1 섹션, 제 1 섹션 및 제 2 섹션 모두, 및 제 1 섹션의 일부 또는 제 2 섹션의 일부를 포함할 수도 있는 다른 섹션들을 포함하는 기판의 다양한 섹션들을 개질하고 에칭하는 것을 계속할 수도 있다. 기판의 특정한 섹션들 및 영역들에 대한 이 노출은 다양한 기하구조들을 생성할 뿐만 아니라 다른 섹션들을 에칭하는 것을 방지하여 선택적인 에칭을 타겟팅하게 한다.
일부 다른 실시 예들에서, 기판의 상이한 섹션들은 상이한 전자 소스 에너지 레벨들 및 전자 소스의 상이한 지속기간들에 노출될 수도 있다. 도 8은 상이한 전자 여기 ALE 사이클의 예시적인 개략적 예시를 도시하고, 도표들 (802a 내지 802h) 은 재료 층으로부터 원자들 (804) 을 에칭하기 위한 전자 여기 ALE 사이클의 또 다른 예를 도시한다. 도표들 (802a 내지 802c) 은 도표들 (402a 내지 402c) 과 동일하다; 예를 들어, 상단 표면 층 (806A) 및 2 개의 다른 층들 (806B 및 806C) 의 원자들 (804) 은 개질된 분자들 (812) 을 형성하기 위해 개질 분자들 (808) 을 사용하여 개질되고 따라서 3 개의 개질된 층들 (810A, 810B, 및 810C) 은 집합적으로 (810) 으로 식별된다.
상기 기술된 (702d) 와 유사하게, 기판의 제 1 섹션 (818) 및 제 2 섹션 (820) 이 도표 (802d) 에서 식별된다. 도표 (702e) 와 유사하게, 도표 (802e) 에서, 도표 (802f) 에 도시된 바와 같이, 다른 층들 (810B 및 810C) 로부터가 아니라 제 1 섹션 (818) 의 상단 표면 층 (810A) 으로부터 개질된 분자들 (812) 의 제거를 유발하기 위해, 제 1 섹션 (818) 은 전자 소스에 노출되고, 제 2 섹션 (820) 은 전자 소스에 노출되지 않는다. 도표 (802g) 에서 모든 3 개의 개질된 층들 (810) 의 개질된 분자들 (812) 에 에너지를 공급하고 기판으로부터 이들의 제거를 인에이블하기 위해, 제 2 섹션 (820) 은 전자 소스에 노출되고, 제 1 섹션 (818) 은 전자 소스에 노출되지 않는다. 도표 (802f) 에서, 도표들 (802e 및 802f) 의 에칭 결과가 예시된다; 상단 표면 층 (810A) 의 개질된 분자들 (812) 은 기판의 제 1 섹션 (818) 으로부터 제거되고 3 개의 개질된 층들 (810) 의 개질된 분자들 (812) 은 기판의 제 2 섹션 (820) 으로부터 제거되었다.
일부 실시 예들에서, 제 1 섹션 (818) 및 제 2 섹션 (820) 은 재료의 상이한 층들의 제거를 유발하기 위해 상이한 에너지 레벨들의 전자 소스들에 노출된다. 예를 들어, 제 1 섹션 (818) 은 전자들로 하여금 재료의 제 1 층 (810A) 과 콘택트하거나 제 1 층 (810A) 에 침투하게 하지만 제 2 층 (810B) 또는 제 3 층 (810C) 에는 침투하지 않게 하는 제 1 에너지 레벨의 전자 소스에 노출될 수도 있다. 이는 개질된 분자들 (812) 을 단지 표면 층 (810A) 으로 해리하기 위해 전달된 에너지를 제한한다. 제 2 섹션 (820) 은 모든 3 개의 층들로 개질된 분자들 (812) 을 해리하기 위해 필요한 에너지를 전달하기 위해 전자들로 하여금 모든 3 개의 층들 (810A 내지 810C) 에 콘택트하고 침투하게 하는 제 2 에너지 레벨의 전자 소스에 노출될 수도 있다. 이 제 2 에너지 레벨은 제 1 에너지 레벨보다 높을 수도 있다.
유사하게, 섹션들 사이에서 전자 소스 에너지 레벨을 가변시키는 대신, 에너지 소스 노출의 지속기간이 조정될 수도 있다. 예를 들어, 제 1 섹션 (818) 은 전자들로 하여금 재료의 제 1 층 (810A) 과 콘택트하거나 침투하게 하지만 제 2 층 (810B) 또는 제 3 층 (810C) 에는 침투하지 않게 하는 제 1 시간 기간 동안 전자 소스에 노출될 수도 있다. 이는 다시 개질된 분자들 (812) 을 단지 표면 층 (810A) 으로 해리하기 위해 전달된 에너지를 제한한다. 제 2 섹션 (820)은 개질된 분자들 (812) 을 모든 3 개의 층들로 해리시키기 위해 필요한 에너지를 전달하기 위해 전자들로 하여금 3 개의 층들 (810A 내지 810C) 모두에 콘택트하고 침투하게 하는 제 2 시간 기간 동안 전자 소스에 노출될 수도 있다. 전자 소스 에너지는 이들 두 시간 기간 동안 동일할 수도 있다.
부가적으로, 일부 실시 예들에서 에너지 레벨 및 노출의 지속기간 모두는 예를 들어, 제 1 섹션 (818) 이 제 1 시간 기간 동안 제 1 에너지 레벨의 전자 소스에 노출되고 제 2 섹션 (820) 이 제 2 시간 기간 동안 제 2 에너지 레벨의 전자 소스에 노출되고 이에 따라 섹션 각각에서 상이한 레벨들을 에칭하도록, 2 개의 섹션들 사이에서 상이할 수도 있다. 본 명세서에서 2 개의 섹션들이 논의되었지만, 임의의 수의 섹션들이 상이한 에너지 레벨들의 에너지 소스들에 그리고/또는 상이한 지속기간들 동안 에너지 소스들에 노출될 수도 있다; 에칭 사이클들은 또한 이들 노출들의 조합이 수행되도록, 예를 들어, 일 사이클이 기판의 전체 표면을 전자 소스에 노출시키고, 일 섹션을 에너지 소스에 노출시키는 또 다른 사이클이 이어지고, 그리고 일 에너지 레벨의 에너지 소스에 일 섹션을 그리고 상이한 에너지 레벨의 에너지 소스에 제 2 섹션을 노출하는 또 다른 사이클이 이어지도록 반복되고 교번될 수도 있다.
일부 실시 예들에서, 개질 동작 후에, 제 2 프로세스 가스가 개질된 표면 층을 변환된 층으로 변환하도록 기판 상으로 흐를 수도 있고, 제거 동작은 전자 여기 및 재료의 변환된 층의 제거를 수반한다. 도 9는 개시된 실시 예들에 따른 동작들을 수행하기 위한 제 2 예시적인 프로세스 흐름도를 도시한다. 도 9의 흐름도는 본 명세서에서 논의된 주지된 차이점들을 갖고, 도 1의 흐름도와 유사하다. 예를 들어, 동작 901, 903, 및 909 내지 913은 각각 상기 논의된 동작 101, 103, 및 109 내지 113과 동일하다. 그러나, 동작 905에서, 분자들을 변환하는 제 2 프로세스 가스가 기판 상으로 흐른다. 변환 분자들은 기판 상의 재료의 변환된 층 내의 개질된 분자들 및 생성된 변환된 분자들과 반응하도록 구성된다. 이들 변환된 분자들은 일단 전자 소스가 동작 907에 의해 나타낸 바와 같이, 이 해리를 인에이블하도록 변환된 분자들에 에너지를 제공하면 기판으로부터 해리되고, 따라서 제거될 수 있는 휘발성 분자들이다; 이 동작은 동작 107과 유사하지만, 여기서 개질된 층이 아닌 변환된 층은 변환된 분자들을 해리하고 제거하기 위해 전자 소스에 노출된다. 일부 이러한 실시 예들에서, 개질된 분자들은 전자 여기에 의해 제거되지 못할 수도 있고, 또는 전자 여기에 의해 개질된 분자들을 제거하는 것이 바람직하지 않을 수도 있다. 예를 들어, 동작 903 전에 기판의 표면은 산화알루미늄 (Al2O3) 을 가질 수도 있고, 동작 903에서 기판은 표면을 불화알루미늄 (AlF3) 로 개질하는 플라즈마를 함유하는 개질 불소 분자들에 노출된다. 동작 905에서 제 2 프로세스 가스는 개질된 알루미늄 플루오라이드 층을 동작 907에서 전자 소스에 노출되고 제거되는 불화디메틸알루미늄 (Al2Me4F2) 의 변환된 휘발성 층으로 변환하는, 변환 분자들 염화디메틸알루미늄 (Al(CH3)2Cl; DMAC) 을 포함한다. 일 퍼지 동작 911이 포함되지만, 부가적인 퍼지들이 동작들 903과 905 사이, 그리고 동작 905와 907 사이에서와 같이 선택 가능하게 수행될 수도 있다. 일 사이클은 동작들 903 내지 911의 수행으로 나타낼 수도 있고, 이들은 목표된 수의 사이클들이 수행될 때까지 반복될 수도 있다.
도 10은 도 9에 도시된 것과 유사한, 또 다른 전자 여기 ALE 사이클의 예시적인 예시를 도시한다. 도 2, 도 4, 도 7 및 도 8과 유사하게, 도표들 (1002a 내지 1002f) 은 에칭을 위한 또 다른 전자 여기 ALE 사이클의 예를 도시한다. (1002a) 에서, (음영으로 된) 제 1 원자 (1022) 및 제 2 원자 (1024) 를 포함하는 기판이 제공되고, 3 개 각각이 기판의 표면 층 (1006) 내에 있다. 예를 들어, 도표들 (202b 및 202c) 과 유사하게, 도표들 (1002b 및 1002c) 은 개질된 분자들 (1012) 을 형성하도록 제 1 원자들 (1022) 과 반응하거나 제 1 원자들에 의해 흡착되는 개질 분자들 (1008) (제 1 프로세스 가스 내에 있을 수도 있음) 을 도입한다. 일부 실시 예들에서, 개질 분자들 (1008) 은 제 2 원자 (1024) 와 반응하지 않거나 제 2 원자 (1024) 에 의해 흡착되지 않는다. (1002c) 에서, 개질된 분자들 (1012) 이 형성된 후, 개질 분자들 (1008) 은 챔버로부터 선택 가능하게 퍼지될 수도 있다. (1002d) 에서, 변환 분자들 (1025) 을 포함하는 제 2 프로세스 가스가 기판 상으로 흐른다; 이들 변환 분자들 (1025) 은 휘발성인 변환된 분자들 (1026) (다이아몬드, 음영으로 된 원, 및 실선 원의 그룹으로 도시되고, 이들 중 하나는 점선 라벨링된 타원 (1026) 내에 식별됨) 을 형성하도록 개질된 분자들 (1012) 과 반응하거나 이에 의해 흡착된다. (1000e) 에서, 변환된 분자들 (1026) 은 변환된 분자들 (1026) 에 에너지를 제공하여 기판으로부터 해리되고 따라서 제거되도록 인에이블하는 전자들 (1014) 에 노출된다; 이는 기판의 에칭과 동등하다. (1002f) 에서, 챔버가 퍼지되고 부산물들이 제거된다. 이 예는 개질 가스 및 변환 가스가 기판 상의 재료 층으로부터 제 2 원자가 아닌 제 1 원자와 반응하고 이를 제거하도록 선택되기 때문에 기판으로부터 제 1 원자의 선택적인 에칭을 발생시킨다.
개질된 층을 변환된 층으로 변환하고 변환된 층을 제거하기 위해 제 2 프로세스 가스를 사용하는 것에는 많은 이점들이 있다. 예를 들어, 일부 개질된 분자들의 일부 제거는 목표된 것보다 많은 에칭을 유발할 수도 있는 전자 소스에 노출될 때 자기-제한적이지 않을 수도 있다. 부가적으로, 변환된 층의 제거는 개질된 층의 에너지 레벨보다 낮은 에너지 레벨과 같은, 보다 유리한 에너지 레벨에서 발생할 수도 있어서, 노출 시간을 감소시킨다.
상기 기술된 바와 같이, 종래의 ALE 기법들은 예를 들어, 경질 재료 및 연질 재료 (예를 들어, 4.5 eV 미만의 표면 결합 에너지를 갖는 재료) 모두를 포함하는 기판 표면이 종래의 ALE 동안 연질 재료들을 스퍼터링하는 경향이 있기 때문에, 스퍼터링의 관점에서 "경질"인 특정한 재료들로 제한될 수 있다. 그러나, 기판을 전자 소스에 노출하는 것이 연질 재료들의 원치 않은 스퍼터링을 유발하지 않기 때문에, 본 명세서에 기술된 실시 예들을 사용하여, 경질 재료 및 연질 재료 모두를 갖는 기판이 에칭될 수 있다.
전자 여기 ALE 장치들
상기 기술된 전자 여기 ALE 동작들 및 기법들을 수행할 수 있는 장치들의 다양한 실시 예들이 이제 기술될 것이다. 도 11은 개시된 실시 예들에 따른 반도체 프로세싱을 위한 장치의 예시적인 단면도를 도시한다; 이 장치 (1130) 는 프로세싱 챔버 (1132), 프로세스 가스 유닛 (1134), 전자 소스 (1136), 웨이퍼 지지부 (1138), 및 제어기 (1140) 를 포함한다. 프로세싱 챔버 (1132) 는 (플레넘 볼륨으로 간주될 수도 있는) 챔버 내부 (1139) 를 적어도 부분적으로 경계짓고 (bound) 규정하는 챔버 벽들 (1142) 을 갖는다. 챔버 벽들 (1142) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다.
웨이퍼 지지부 (1138) 는 하단 내측 표면 근방에서 챔버 내부 (1139) 내에 위치된다. 웨이퍼 지지부 (1138) 는 반도체 웨이퍼 (1148) 를 수용하고 홀딩하는 것을 포함하는 챔버 내부 (1139) 내에, 그 위에서 에칭 및 증착 프로세스들이 수행되는, 반도체 웨이퍼 (1148) 를 위치시키도록 구성된다. 웨이퍼 지지부 (1138) 는 존재한다면 웨이퍼 (1148) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 은 웨이퍼 지지부 (1138) 를 둘러싸고, 웨이퍼 지지부 (1138) 위에 존재할 때 웨이퍼 (1148) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 웨이퍼 지지부 (1138) 는 또한 웨이퍼를 척킹하고 (chucking) 디척킹하기 (dechucking) 위한 정전 전극들을 포함할 수도 있다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 웨이퍼 지지부 (1138) 로부터 웨이퍼 (1148) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 웨이퍼 지지부 (1138) 는 또한 RF 전력 공급부 (1150) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (1150) 는 매칭 회로 (1152) 에 연결된다. 바이어스 전력이 기판 (1148) 을 바이어싱하도록 웨이퍼 지지부 (1138) 로 전달될 수도 있다. 다양한 실시 예들에서, 바이어스 전력은 0 V (바이어스 없음) 내지 약 2000 V, 또는 0 V 내지 1800 V, 또는 0 V 내지 1500 V, 또는 500 V 내지 약 1500 V의 값으로 설정될 수도 있다. 매칭 회로 (1152) 는 척 웨이퍼 지지부 (1138) 에 연결되고, 이러한 방식으로 RF 전력 공급부 (1150) 는 척 웨이퍼 지지부 (1138) 에 연결된다.
프로세스 가스 유닛 (1134) 은 액체들 및/또는 가스들, 예컨대 반응물질, 개질 분자들, 변환 분자들, 또는 제거 분자들을 챔버 내부 (1139) 의 기판 (1148) 상으로 흘리도록 구성된다. 프로세스 가스 유닛 (1134) 은 프로세스 가스가 챔버 내부 (1139) 로 흐르는, 도시되지 않은 챔버의 상단 상의 유입구들과 같은 하나 이상의 유입구들, 또는 유입구 (1160) 와 같은 측면 가스 플로우 유입구들을 포함한다. 프로세스 가스 유닛 (1134) 은 챔버 내부 (1139) 로의 전달을 위해 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기를 포함할 수도 있다. 하나 이상의 혼합 용기 유입구 밸브들은 혼합 용기로의 프로세스 가스들의 도입을 제어할 수도 있다.
프로세스 가스 유닛 (1134) 은 제 1 프로세스 가스 소스 (1162), 제 1 프로세스 액체 소스 (1164), 제 1 액체를 가스로 기화시킬 수도 있는 기화 지점 (미도시), 및 캐리어 가스 소스 (1166) 를 포함할 수도 있다. 일부 반응물질들이 기화 및 후속하여 프로세스 챔버 (1132) 로의 전달 전에 액체 형태로 저장될 수도 있다. 제 1 프로세스 가스는 산화 가스, 할로겐화 (halogenating) 가스, 오존, 하이드록실화 (hydroxylating) 가스, 또는 상기 기술된 바와 같이 기판 (1148) 상의 재료의 하나 이상의 층들을 개질하도록 구성된 또 다른 가스를 포함할 수도 있다. 일부 구현 예들에서, 기화 지점은 가열된 액체 주입 모듈일 수도 있다. 일부 구현 예들에서, 기화 지점은 가열된 기화기일 수도 있다. 또 다른 구현예들에서, 기화 지점은 프로세스 스테이션으로부터 제거될 수도 있다. 일부 구현 예들에서, 기화 지점의 업스트림에 LFC (Liquid Flow Controller) 가 기화 및 프로세싱 챔버 (1139) 로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 캐리어 가스 소스 (1166) 는 프로세싱 가스와 함께 흐를 수도 있는 하나 이상의 캐리어 가스들 또는 액체들을 포함하고; 이들은 N2, Ar, Ne, He와 유사한 불활성 가스들일 수도 있다.
장치 (1130) 는 또한 웨이퍼 지지부 (1138) 상에 위치된 기판 (1148) 에 전자들을 노출시키도록 구성되는 전자 소스 (1136) 를 포함한다. 상기 언급된 바와 같이, 전자 소스 (1136) 는 전체 기판 (1148) 표면을 전자들에 한번에, 즉, 동시에 노출시키도록 구성되는 넓은 빔 또는 큰 면적 소스일 수도 있다. 일부 실시 예들은 육붕소화란타늄으로부터 형성될 수도 있는 열이온 소스일 수도 있고, 또는 전자 소스는 가열된 텅스텐 지르코늄 이산화물 (W/ZrO2) 과 같은 필드 전자 방출 소스일 수도 있다. 일부 다른 실시 예들에서, 전자 소스 (1136) 는 기판 (1148) 의 복수의 섹션들, 또는 기판의 전부를 스캔하고, 기판 (1148) 의 하나 이상의 섹션들 상에 빔을 포커싱하도록 그리고 벡터 스캔과 같이, 기판 (1148) 의 다양한 섹션들을 스캔하도록 성형된 빔들을 사용할 수도 있는 전자 빔일 수도 있다. 일부 실시 예들에서, 전자 소스는 전자 플라즈마 소스일 수도 있다. 격리 밸브 또는 셔터 (1137) 가 또한 장치 (1130) 에 포함될 수도 있다. 격리 밸브는 챔버 (1132) 와 전자 소스 (1136) 사이에 개재되고, 전자들이 챔버 내부 (1139) 로 진입하게 하고, 전자들이 챔버 내부 (1139) 로 진입하는 것을 방지하고, 그리고 가스들 및 입자들이 챔버 내부 (1139) 로부터 전자 소스 (1136) 로 이동하는 것을 방지하도록 구성된다.
장치 (1130) 는 또한 프로세스 챔버 내부 (1139) 로부터 프로세스 가스들을 인출하도록 그리고 프로세스 챔버 (1132) 내의 압력을 유지하도록 사용될 수도 있는 1 또는 2 스테이지 기계적 건조 펌프 및/또는 터보분자 펌프를 포함하는, 챔버 내부 (1139) 에 진공을 생성하도록 구성되는 진공 펌프 (1168) 를 포함할 수도 있다. 예를 들어, 펌프 (1168) 는 ALE의 퍼지 동작 동안 챔버 내부 (1139) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프 (1168) 에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세싱 챔버 (1132) 에 유체적으로 연결하도록 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안 쓰로틀 (throttle) 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 장치 (1130) 는 격리 밸브 (1137) 가 개방되는 동안 그리고 기판 (1148) 이 전자 소스 (1136) 로부터 전자 빔에 노출되는 동안 챔버 내부 (1139) 를 진공으로 유지하도록 구성된다.
상기 주지된 바와 같이, 장치는 CCP (Capacitively Coupled Plasma), ICP (Inductively Coupled Plasma), 및 리모트 플라즈마와 같은, 챔버 내부 (1139) 에서 플라즈마를 사용하거나 생성하기 위한 플라즈마 생성기를 포함할 수도 있다. 도 11의 장치 (1130) 는 챔버 (1132) 위에 위치된 코일 (1154) 과 같은 ICP 피처들을 갖는 플라즈마 생성기를 포함한다. 코일 (1154) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 11에 도시된 코일 (1154) 의 예는 3 개의 턴들을 포함한다. 코일 (1154) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (1154) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (1156) 를 포함한다. 일반적으로, RF 전력 공급부 (1156) 는 매칭 회로 (1158) 에 연결되고, 매칭 회로 (1158) 는 코일 (1154) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (1156) 는 코일 (1154) 에 연결된다. RF 전력 공급부 (1156) 는 개질 동작 동안 1 % 내지 약 20 %의 듀티 사이클을 사용하여 10 ㎐ 내지 200 ㎐의 주파수로 펄싱되고, 그리고/또는 ALE 사이클 동안 제거 동작 동안 1 % 내지 약 20 %의 듀티 사이클을 사용하여 10 ㎐ 내지 200 ㎐의 주파수로 펄싱되도록 구성될 수도 있다. 플라즈마 생성기는 도 11에서 단일 엘리먼트로서 식별되지 않고, 코일 (1154), RF 전력 공급부 (1156), 및 선택 가능하게 매칭 회로 (1158) 를 포함한다.
도시되지 않았지만, 선택 가능한 패러데이 차폐부가 코일 (1154) 과 챔버 (1132) 사이에 위치될 수도 있다. 패러데이 차폐부는 코일 (1154) 에 대해 이격된 관계로 유지될 수도 있다. 패러데이 차폐부는 챔버 (1132) 바로 위에 배치될 수도 있다. 코일 (1154), 패러데이 차폐부, 및 챔버 (1132) 의 상단 벽은 각각 서로 실질적으로 평행하도록 구성될 수도 있다. 패러데이 차폐부는 금속 또는 다른 종이 챔버 (1132) 상에 증착되는 것을 방지할 수도 있다.
선택 가능한 내부 플라즈마 그리드 (1146) 가 전체 프로세싱 챔버 (1132) 를 상부 서브챔버 (1139A) 및 하부 서브챔버 (1139B) 로 분할할 수도 있다. 대부분의 실시 예들에서, 플라즈마 그리드 (1146) 는 제거될 수도 있고, 이에 따라 서브챔버들 (1139A 및 113B) 로 이루어진 챔버 공간을 활용한다.
RF 전류로 하여금 코일 (1154) 을 통해 흐르게 하도록 RF 전력 공급부 (1156) 로부터 코일 (1154) 로 무선 주파수 전력이 공급된다. 코일 (1154) 을 통해 흐르는 RF 전류는 코일 (1154) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (1139A) 내에 유도 전류를 생성한다. 웨이퍼 (1148) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (1148) 의 층들을 선택적으로 개질할 수도 있다. 상부 서브챔버 (1139A) 및 하부 서브챔버 (1139B) 모두가 있도록 플라즈마 그리드 (1146) 가 사용된다면, 유도 전류는 상부 서브챔버 (1139A) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (1139A) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (1146) 는 하부 서브챔버 (1139B) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (1130) 는 하부 서브챔버 (1139B) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 양이온들에 대해 보다 큰 비의 음이온들을 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (1170) 를 통해 하부 서브챔버 (1139B) 로부터 제거될 수도 있다. 본 명세서에 개시된 웨이퍼 지지부 (1138) 는 약 -200 ℃ 내지 약 600 ℃ 또는 약 -20 ℃ 내지 약 250 ℃ 범위의 온도들에서 동작할 수도 있고, 웨이퍼 지지부 (1138) 는 약 0 ℃ 미만의 온도로 설정될 수도 있다. 온도는 프로세스 동작과 특정한 레시피 및 사용된 툴에 종속될 것이다.
챔버 (1132) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타겟 제조 설비 내에 설치될 때 챔버 (1132) 에 커플링된다. 부가적으로, 챔버 (1132) 는 로봇들로 하여금 통상적인 자동화를 사용하여 챔버 (1132) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
(하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (1140) 는 프로세스 챔버의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (1140) 는 하나 이상의 메모리 디바이스들 (1172) 및 하나 이상의 프로세서들 (1174) 을 포함할 수도 있다. 일부 실시 예들에서, 장치는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치는 최대 약 500 ms, 또는 최대 약 750 ms의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 제어기 (1140) 는 상기 기술된 예들의 일부일 수도 있는, 시스템 또는 장치 (1130) 의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 기판 지지부, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (1140) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기 (1140) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 규소, 이산화규소, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다. 일부 실시 예들에서, 제어기 (1140) 는 ALE의 개질 동작을 위한 온도에 대한 윈도우를 결정하도록, 또는 ALE의 제거 동작을 위한 프로세스 조건들에 대한 윈도우를 결정하도록, 또는 모두에 대해 사용될 수도 있다.
제어기 (1140) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (1140) 는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기 (1140) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (1140) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
일부 실시 예들에서, 제어기 (1140) 는 상기 기술된 기법들 중 일부 또는 전부를 실행하도록 구성되는 인스트럭션들을 포함한다. 예를 들어, 이들 인스트럭션들은 프로세스 가스 유닛 (1134) 으로 하여금 챔버 내부 (1139) 의 기판 (1148) 으로 제 1 프로세스 가스를 흘리게 하도록 구성될 수도 있고 제 1 프로세스 가스는 기판 (1148) 상의 재료의 하나 이상의 층들을 개질하도록 구성되고, 전자 소스 (1136) 로 하여금 전자들을 생성하게 하고 이에 따라 플라즈마를 사용하지 않고 기판 (1148) 상의 하나 이상의 개질된 표면 층들을 전자 소스에 노출시키게 하도록 구성될 수도 있고, 그리고 챔버 내부 (1139) 에 진공을 생성하고 챔버 내부 (1139) 로부터 가스들을 퍼지하게 진공 펌프 (1168) 를 제어하도록 구성될 수도 있다. 이는 또한 셔터 밸브 (1157) 로 하여금 개질 동작 동안 폐쇄되고 제거 동작 동안 개방되게 하는 제어기를 포함할 수도 있다.
제어기 (1140) 는 또한 플라즈마 생성기로 하여금, 예컨대 상기 기술된 개질 동작 동안 챔버 내부 (1139) 내에서 플라즈마를 생성하게 할 뿐만 아니라 전자 소스에 대한 노출 후 기판의 전하를 감소시키거나 중성화하게 하도록 구성될 수도 있다. 플라즈마 생성기는 코일 (1154), 매칭 회로 (1158), 및 RF 전력 공급부 (1156) 를 포함할 수도 있다. 일부 다른 실시 예들에서, 플라즈마 생성기는 CCP 또는 리모트 플라즈마 소스이다. 도 12는 개시된 실시 예들에 따른 반도체 프로세싱을 위한 또 다른 장치의 예시적인 단면도를 도시한다. 여기서, 장치 (1230) 는 도 11에서와 동일하지만, 코일이 제거되고 2 개의 다른 타입들의 플라즈마 생성기가 도시된다. 일 타입은 RF 전력 소스 (1256) 가 전력 공급된 전극과 접지된 전극 사이의 용량성 커플링을 사용하여 플라즈마를 생성하는 챔버 (1132) 자체에 직접 연결되는 매칭 회로 (1258) 에 연결되는 CCP 플라즈마 생성기이다; 플라즈마 RF 전력 소스 (1256) 와 연결될 수도 있는 전력 공급된 전극은, 챔버 내부의 RF 전극에 대응할 수도 있다. 접지된 전극은 기판 지지부 (1138) 에 대응할 수도 있다. 제 2 타입은 챔버 (1132) 에 연결되고, 챔버 내부 (1139) 로 라디칼들을 흘리도록 구성된 리모트 플라즈마 소스 (1276) 이다. 전극들은 13.56 ㎒ 범위, 27 ㎒ 범위, 또는 보다 일반적으로 50 ㎑ 내지 60 ㎒의 RF 에너지를 생성하도록 구성될 수도 있다. 일부 실시 예들에서, RF 에너지의 특정한 주파수 범위를 생성하도록 각각 구성되는 복수의 전극들이 제공될 수도 있다.
일부 실시 예들에서, 장치는 기판 상의 전하를 감소시키거나 제거하도록 구성되는 전하 중성화 유닛을 포함할 수도 있다. 이 전하 중성화 유닛은 본 명세서에 기술된 플라즈마 생성기, 본 명세서에 기술된 전자 소스, 뿐만 아니라 도 11에 도시된 자외선 광원 (1178) 을 포함할 수도 있다. 제어기 (1140) 는 챔버 내부 (1139) 에 기판 (1148) 상의 전하를 감소시키거나 제거하는 플라즈마를 생성하고, 전자 소스 (1136) 로 하여금 기판 (1148) 상의 전하를 감소시키거나 제거하는 전자들 및 이온들에 대안적으로 노출되게 하고, 자외선 광원 (1178) 으로 하여금 기판 (1148) 상의 전하를 감소시키거나 제거하는 자외선 광을 생성하게 하기 위한 인스트럭션들을 포함할 수도 있다. 이 자외선 광은 약 50 ㎚ 내지 약 250 ㎚의 파장들을 가질 수도 있다.
본 명세서에 기술된 기법들 및 장치들을 사용하여 전자 여기 ALE를 수행하는 것은 수많은 이점들을 제공한다. 예를 들어, 이들 기법들 및 장치들은 내부 깊은 콘택트들 또는 트렌치들의 재료 층들의 등방성 에칭을 허용하는 반면, 종래의 ALE는 이들 영역들 내에서 이방성 에칭으로 제한된다; 이들 영역들은 또한 이온 손상을 유발하지 않고 에칭될 수 있다. 부가적으로, 이들 기법들 및 장치들은 연질 재료들로 하여금 스퍼터링하게 하지 않고 높은 스퍼터링 수율을 갖는 재료들, 예를 들어, 이들 연질 재료들의 ALE를 인에이블한다. 상기 기술된 바와 같이, 에칭의 본질은 등방성, 이방성, 및 부분적으로 이방성 에칭, 및 전자 소스에 노출된 기판 상의 영역들에 대한 전자 소스 에너지의 다양한 조정들 사이에서 조정될 수 있고, 노출의 지속기간은 다양한 기하구조들을 형성하도록 타겟팅된 선택된 에칭을 인에이블한다. 이들 기법들 및 장치들은 또한 마스크들의 패시팅 (faceting) 및 부식을 감소시키거나 제거하여, 보다 우수한 임계 깊이 제어를 제공한다.
본 명세서에 개시된 주제가 예시된 실시 예들에 대해 구체적으로 기술되었지만, 다양한 변경들, 수정들 및 적응들이 본 개시에 기초하여 이루어질 수도 있고, 본 발명의 범위 내에 있도록 의도된다는 것이 인식될 것이다. 기술이 개시된 실시 예들로 제한되지 않고, 반대로, 청구항들의 범위 내에 포함된 다양한 수정들 및 동등한 구성들을 커버하도록 의도된다는 것이 이해되어야 한다.

Claims (28)

  1. 기판을 프로세싱하는 방법에 있어서,
    기판 상의 재료의 하나 이상의 표면 층들을 개질하는 단계; 및
    상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 전자 소스에 노출시킴으로써, 플라즈마를 사용하지 않고 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 제거하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 노출하는 단계는 상기 기판 상의 상기 하나 이상의 개질된 표면 층들 모두를 상기 전자 소스에 동시에 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 노출하는 단계는 상기 하나 이상의 개질된 표면 층들의 제 1 섹션을 상기 전자 소스에 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  4. 제 3 항에 있어서,
    상기 하나 이상의 개질된 표면 층들의 제 2 섹션이 상기 전자 소스에 노출되지 않는 동안 상기 하나 이상의 개질된 표면 층들의 상기 제 1 섹션만이 상기 전자 소스에 노출되는, 기판을 프로세싱하는 방법.
  5. 제 3 항에 있어서,
    상기 노출하는 단계는 상기 제 1 섹션의 상기 노출 단계 후에 상기 하나 이상의 개질된 표면 층들의 제 2 섹션을 상기 전자 소스에 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  6. 제 5 항에 있어서,
    상기 노출하는 단계는,
    상기 하나 이상의 개질된 표면 층들의 상기 제 1 섹션을 제 1 빔 에너지 레벨의 상기 전자 소스에 노출하는 단계, 및
    상기 하나 이상의 개질된 표면 층들의 상기 제 2 섹션을 제 2 빔 에너지 레벨의 상기 전자 소스에 노출시키는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  7. 제 5 항에 있어서,
    상기 노출하는 단계는,
    제 1 시간 기간 동안 상기 하나 이상의 개질된 표면 층들의 상기 제 1 섹션을 상기 전자 소스에 노출시키는 단계, 및
    제 2 시간 기간 동안 상기 하나 이상의 개질된 표면 층들의 상기 제 2 섹션을 상기 전자 소스에 노출시키는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 노출하는 단계 후, 상기 기판 상의 전하를 중성화하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 노출하는 단계는 상기 기판으로부터 상기 하나 이상의 개질된 표면 층들의 이방성 제거를 유발하기에 충분한 빔 에너지 레벨의 상기 전자 소스에 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  10. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 노출하는 단계는 상기 기판으로부터 상기 하나 이상의 개질된 표면 층들의 등방성 제거를 유발하기에 충분한 빔 에너지 레벨의 상기 전자 소스에 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  11. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 노출하는 단계는 상기 기판으로부터 상기 하나 이상의 개질된 표면 층들의 부분적인 이방성 제거를 유발하기에 충분한 빔 에너지 레벨의 상기 전자 소스에 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  12. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 개질하는 단계 전 또는 상기 개질하는 단계 동안, 상기 기판 상에 제 1 프로세스 가스를 흘리는 단계를 더 포함하고, 상기 제 1 프로세스 가스는 상기 기판 상의 상기 재료의 하나 이상의 표면 층들을 개질하도록 구성되는, 기판을 프로세싱하는 방법.
  13. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 개질하는 단계는 상기 기판 상의 상기 재료의 하나 이상의 표면 층들을 플라즈마에 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  14. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 기판이 프로세싱 챔버 내에 남아있는 동안, 상기 기판 상의 재료의 하나 이상의 표면 층들을 개질하는 단계 및 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 상기 전자 소스에 노출하는 단계를 반복하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  15. 제 14 항에 있어서,
    개질하는 단계와 노출하는 단계 사이에 상기 프로세싱 챔버를 퍼지하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  16. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 재료는 약 4.5 eV 미만의 표면 결합 에너지를 갖는, 기판을 프로세싱하는 방법.
  17. 제 16 항에 있어서,
    상기 재료는 구리, 알루미늄, 게르마늄, 금, 및 은 중 하나 이상을 포함하는, 기판을 프로세싱하는 방법.
  18. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 개질하는 단계 및 상기 노출하는 단계 동안 기판 온도는 실질적으로 동일한, 기판을 프로세싱하는 방법.
  19. 기판을 프로세싱하는 방법에 있어서,
    기판 상의 재료의 하나 이상의 표면 층들을 개질하는 단계;
    상기 개질하는 단계 후, 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 하나 이상의 변환된 층들로 변환하는 단계; 및
    상기 기판 상의 상기 하나 이상의 변환된 층들을 전자 소스에 노출시킴으로써, 플라즈마를 사용하지 않고 상기 기판 상의 상기 하나 이상의 변환된 표면 층들을 제거하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  20. 제 19 항에 있어서,
    상기 변환하는 단계는 상기 기판 상에 제 2 프로세스 가스를 흘리는 단계를 더 포함하고, 상기 제 2 프로세스 가스는 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 상기 하나 이상의 변환된 층들로 변환하도록 구성되는, 기판을 프로세싱하는 방법.
  21. 제 19 항에 있어서,
    상기 개질하는 단계는 상기 기판 상의 상기 재료의 하나 이상의 표면 층들을 플라즈마에 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  22. 제 19 항에 있어서,
    상기 변환하는 단계는 상기 기판 상의 상기 재료의 하나 이상의 표면 층들을 플라즈마에 노출하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  23. 반도체 프로세싱을 위한 장치에 있어서,
    챔버 내부를 적어도 부분적으로 경계짓는 (bound) 챔버 벽들을 포함하는 프로세싱 챔버;
    상기 챔버 내부에 기판을 위치시키기 위한 웨이퍼 지지부;
    제 1 프로세스 가스를 상기 챔버 내부로 그리고 상기 챔버 내부의 상기 기판 상으로 흘리도록 구성된 프로세스 가스 유닛;
    상기 웨이퍼 지지부 상에 위치된 상기 기판에 전자들을 노출시키도록 구성된 전자 소스; 및
    제어기를 포함하고, 상기 제어기는,
    상기 프로세스 가스 유닛으로 하여금 상기 챔버 내부의 상기 기판에 상기 제 1 프로세스 가스를 흘리게 하고―상기 제 1 프로세스 가스는 상기 기판 상의 하나 이상의 재료 층들을 개질하도록 구성됨―, 그리고
    상기 전자 소스로 하여금 상기 전자들을 생성하게 하여 상기 기판 상의 상기 하나 이상의 개질된 표면 층들을 상기 전자들에 노출시키게 하도록―상기 하나 이상의 개질된 표면 층들은 플라즈마를 사용하지 않고 제거됨―구성되는 인스트럭션들을 포함하는, 반도체 프로세싱을 위한 장치.
  24. 제 23 항에 있어서,
    상기 챔버 내부로부터 가스들을 배기하도록 구성된 진공 유닛을 더 포함하고, 상기 제어기는,
    상기 진공 유닛으로 하여금 상기 챔버 내부에 진공을 생성하게 하고 상기 챔버 내부로부터 가스들을 퍼지하게 하도록 구성된 인스트럭션들을 더 포함하는, 반도체 프로세싱을 위한 장치.
  25. 제 23 항에 있어서,
    상기 기판의 전하를 중성화하도록 구성된 전하 중성화 유닛을 더 포함하고, 상기 제어기는,
    상기 전하 중성화 유닛으로 하여금 상기 기판의 상기 전하를 중성화하게 하도록 구성된 인스트럭션들을 더 포함하는, 반도체 프로세싱을 위한 장치.
  26. 제 25 항에 있어서,
    상기 전하 중성화 유닛은 플라즈마 소스, 자외선 광원, 및 상기 전자 소스 중 적어도 하나인, 반도체 프로세싱을 위한 장치.
  27. 제 23 항에 있어서,
    상기 챔버 내부에 플라즈마를 생성하도록 구성된 플라즈마 생성기를 더 포함하고,
    상기 플라즈마 생성기는 용량 결합 (capacitively coupled) 플라즈마, 유도 결합 (inductively coupled) 플라즈마, 상부 리모트 (remote) 플라즈마, 및 하부 리모트 플라즈마 중 하나이고, 그리고
    상기 제어기는 상기 플라즈마 생성기로 하여금 상기 챔버 내부에서 상기 플라즈마를 생성하게 하도록 구성된 인스트럭션들을 더 포함하는, 반도체 프로세싱을 위한 장치.
  28. 제 23 항에 있어서,
    상기 챔버 내부와 상기 전자 소스 사이에 개재된 격리 밸브 또는 셔터를 더 포함하고, 상기 격리 밸브 또는 상기 셔터는 상기 전자들로 하여금 상기 챔버 내부에 도달하게 하도록 구성되는, 반도체 프로세싱을 위한 장치.
KR1020217003963A 2018-07-09 2019-07-03 전자 여기 원자 층 에칭 KR20210019121A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862695419P 2018-07-09 2018-07-09
US62/695,419 2018-07-09
PCT/US2019/040490 WO2020014065A1 (en) 2018-07-09 2019-07-03 Electron excitation atomic layer etch

Publications (1)

Publication Number Publication Date
KR20210019121A true KR20210019121A (ko) 2021-02-19

Family

ID=69142936

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217003963A KR20210019121A (ko) 2018-07-09 2019-07-03 전자 여기 원자 층 에칭

Country Status (7)

Country Link
US (2) US11637022B2 (ko)
EP (1) EP3821457A4 (ko)
JP (1) JP7461923B2 (ko)
KR (1) KR20210019121A (ko)
CN (1) CN112424914A (ko)
TW (1) TW202018807A (ko)
WO (1) WO2020014065A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7174016B2 (ja) * 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2023540034A (ja) * 2020-09-03 2023-09-21 ラム リサーチ コーポレーション 誘電体に対する選択性を有した半導体、金属、または金属酸化物の原子層エッチング
WO2023183129A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation Fast atomic layer etch

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US4313782A (en) 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4414069A (en) 1982-06-30 1983-11-08 International Business Machines Corporation Negative ion beam selective etching process
US4695327A (en) 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
FR2617333B1 (fr) 1987-06-25 1990-01-05 Straboni Alain Procede d'elimination de zones de nitrure ou d'oxynitrure de silicium
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
JPH06295889A (ja) 1990-12-13 1994-10-21 Nec Corp 微細パターン形成方法
JPH04223329A (ja) 1990-12-25 1992-08-13 Nec Corp 微細パタ−ン形成方法および形成装置
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
US5271800A (en) * 1991-07-12 1993-12-21 The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration Method for anisotropic etching in the manufacture of semiconductor devices
JPH0529266A (ja) 1991-07-17 1993-02-05 Nec Corp 電子ビーム励起ドライエツチング方法および装置
JPH0590230A (ja) * 1991-09-30 1993-04-09 Nec Corp ドライエツチング方法
US5268069A (en) 1991-10-28 1993-12-07 International Business Machines Corporation Safe method for etching silicon dioxide
JPH05190517A (ja) * 1992-01-17 1993-07-30 Nec Corp 微細パターン形成方法
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
JP3005373B2 (ja) 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5922624A (en) 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
US5792275A (en) 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JPH09102490A (ja) 1995-07-31 1997-04-15 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
KR100232664B1 (ko) 1995-07-31 1999-12-01 니시무로 타이죠 반도체장치의 제조방법 및 반도체 제조장치
US5685951A (en) 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
JPH10154712A (ja) 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5858830A (en) 1997-06-12 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making dual isolation regions for logic and embedded memory devices
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6204198B1 (en) 1998-11-24 2001-03-20 Texas Instruments Incorporated Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6265302B1 (en) 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6803309B2 (en) 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US6817776B2 (en) 2002-11-19 2004-11-16 International Business Machines Corporation Method of bonding optical fibers and optical fiber assembly
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6992011B2 (en) 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
KR101159337B1 (ko) * 2003-01-16 2012-06-22 에프이아이 컴파니 마스크 수정을 위한 전자 빔 프로세싱
WO2004073850A1 (en) 2003-02-14 2004-09-02 Tokyo Electron Limited Gas feeding apparatus
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
KR100498494B1 (ko) 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6716691B1 (en) 2003-06-25 2004-04-06 Sharp Laboratories Of America, Inc. Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100512939B1 (ko) 2003-07-10 2005-09-07 삼성전자주식회사 트렌치 소자분리 방법
KR100583637B1 (ko) 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US20050070120A1 (en) 2003-08-28 2005-03-31 International Sematech Methods and devices for an insulated dielectric interface between high-k material and silicon
US6967167B2 (en) 2003-09-30 2005-11-22 International Business Machines Corporation Silicon dioxide removing method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR20050110751A (ko) 2004-05-19 2005-11-23 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100599437B1 (ko) 2004-06-30 2006-07-12 주식회사 하이닉스반도체 반도체소자의 소자분리 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
WO2008088300A2 (en) 2005-03-08 2008-07-24 Primaxx, Inc. Selective etching of oxides from substrates
JP2006261451A (ja) 2005-03-17 2006-09-28 Sony Corp エッチング方法
US20100062602A1 (en) 2005-04-28 2010-03-11 Phyzchemix Corporation Etching method, method for producing dielectric film of low dielectric constant, method for producing porous member, etching system and thin film forming equipment
US8404594B2 (en) * 2005-05-27 2013-03-26 Freescale Semiconductor, Inc. Reverse ALD
KR100746223B1 (ko) 2005-09-09 2007-08-03 삼성전자주식회사 반도체소자의 트렌치 소자분리 방법
US20070063277A1 (en) 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP2007258266A (ja) 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7718080B2 (en) * 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
JP2008210909A (ja) 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090181553A1 (en) 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
CN103117216B (zh) 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
WO2017205658A1 (en) 2016-05-25 2017-11-30 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching on microdevices and nanodevices
US20170345665A1 (en) 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
JP6936700B2 (ja) 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling

Also Published As

Publication number Publication date
WO2020014065A1 (en) 2020-01-16
US20230298904A1 (en) 2023-09-21
TW202018807A (zh) 2020-05-16
EP3821457A4 (en) 2022-04-13
JP7461923B2 (ja) 2024-04-04
JP2021532573A (ja) 2021-11-25
EP3821457A1 (en) 2021-05-19
US11637022B2 (en) 2023-04-25
CN112424914A (zh) 2021-02-26
US20210280433A1 (en) 2021-09-09

Similar Documents

Publication Publication Date Title
JP7293211B2 (ja) 高エネルギー原子層エッチング
TWI832325B (zh) 設計者原子層蝕刻
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
KR20210019121A (ko) 전자 여기 원자 층 에칭
JP6009520B2 (ja) シリコン含有膜の平滑SiConiエッチング
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
CN111373512A (zh) 使用ale蚀刻金属氧化物衬底以及选择性沉积
JP2016076621A (ja) 被処理体を処理する方法
KR20150141906A (ko) 포토레지스트-스트립 성능을 향상시키고 유기 막들을 개질하기 위한 과산화증기 처리

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal